Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 24650

Article: 24650
Subject: error during synthesis
From: "Tomasz Brychcy" <T.Brychcy@pz.zgora.pl>
Date: Wed, 16 Aug 2000 12:02:01 +0200
Links: << >>  << T >>  << A >>
Hello
It occurs error during synthesis.
The error is:
sequential mapping has detected that cell 'LOAD15_reload' has uses both the
asynchronous 'set' and 'clear' pins.

The fragment of the code is below:

always @(posedge GATE or posedge intoCounter)

 if (GATE) begin
  if (MODE15) begin
   if ((TRIGGER_WORKS) || (TRIGGER_WORKS & COUNT_ENABLE))
    LOAD15_reload=1'b1;
   else
    LOAD15_reload=1'b0;
  end
 end
 else begin
  if (MODE15)
   LOAD15_reload=1'b0;
 end

I request for reply.

With regards

Tomek

T.Brychcy@ime.pz.zgora.pl


Article: 24651
Subject: how to use script file in the Design Manager
From: y917@hotmail.com ("zheng Daixun")
Date: 16 Aug 2000 12:18:08 +0200
Links: << >>  << T >>  << A >>
I want to ask how to use script file in Xilinx Design Manager.
I need to run the following command with the parameter by sequence.
ngdbuild
map
par
bitgen
promgen

I know I can write them to a script file.  But how to use this script file? 
What is the suffix of this script file.

Thanks!

Hu
________________________________________________________________________
Get Your Private, Free E-mail from MSN Hotmail at http://www.hotmail.com


--
Posted from [131.227.8.189] by way of f119.law3.hotmail.com [209.185.241.119] 
via Mailgate.ORG Server - http://www.Mailgate.ORG
Article: 24652
Subject: Re: Help!!! Bit serial Baugh-Wooley multiplier
From: erika_uk@my-deja.com
Date: Wed, 16 Aug 2000 10:26:10 GMT
Links: << >>  << T >>  << A >>
hi,

i have seen once a publication which use baugh weally serial
multiplier,but it was not releveant for me,
any expert in arithmetic here ???....

In article <8nd17f$f3p$1@nnrp1.deja.com>,
  jj_okocha@my-deja.com wrote:
>
> > Baugh-Wooley is a parallel algorithm by definition.  Its like asking
> how do
> > you do parallel multi CPU processing on a single CPU. Answer you
> don't. You
>
> Well, that's what I thought when looking at the algorithm, but I
> believe having seen a publication using a bit serial Baugh-Wooley
> multiplier (it was some time ago, and I cannot find it!, anyway, I
> remember that it does not describe it).
>
> > might want to ask what would be a good bit serial algorithm.
> Could you advice a serial-serial (not serial-parallel) constant
> multiplier to implement on XC4000 series?
>
> Cheers.
>
> Sent via Deja.com http://www.deja.com/
> Before you buy.
>


Sent via Deja.com http://www.deja.com/
Before you buy.
Article: 24653
Subject: Re: Non-disclosures in job interviews
From: Stuart Tyrrell <Stuart@stdevel.demon.co.uk>
Date: Wed, 16 Aug 2000 11:57:30 +0100
Links: << >>  << T >>  << A >>
In message <399A2F69.1D97BE2B@yahoo.com>
          rickman <spamgoeshere4@yahoo.com> wrote:

> So most of what is in the documents is not overly onerous. But there
> are a few things that I will be objecting to. The requirement by
> company A that I not disclose information will limit my discussion
> of what I am currently working on. 

Be *very* careful with clauses like this.

Since you've stated (or at least they expect you to state) that you
won't disclose [commercially] confidential information to them, you
might find yourself in a *very* difficult situation if you're asked to
"invent" during the interview.

If the company asked you to describe how, for example, you'd design a
data logger, what comeback would you have if they later used your
ideas in one of their designs?




Stuart.
-- 
Stuart Tyrrell Developments        Stuart@stdevel.demon.co.uk
PO Box 183, OLDHAM. OL2 8FB        http://www.stdevel.demon.co.uk
Tel: 0845 458 8803 / 01706 848 600 Fax: 0870 164 1604
Article: 24654
Subject: Re: error during synthesis
From: rickman <spamgoeshere4@yahoo.com>
Date: Wed, 16 Aug 2000 07:33:07 -0400
Links: << >>  << T >>  << A >>
The error is just what it says. You are trying to async set to either a
1 or a 0 depending on ((TRIGGER_WORKS) || (TRIGGER_WORKS &
COUNT_ENABLE)). This means that you need both an async reset and an
async set. The FFs can not support both, you have to chose one or the
other. 


Tomasz Brychcy wrote:
> 
> Hello
> It occurs error during synthesis.
> The error is:
> sequential mapping has detected that cell 'LOAD15_reload' has uses both the
> asynchronous 'set' and 'clear' pins.
> 
> The fragment of the code is below:
> 
> always @(posedge GATE or posedge intoCounter)
> 
>  if (GATE) begin
>   if (MODE15) begin
>    if ((TRIGGER_WORKS) || (TRIGGER_WORKS & COUNT_ENABLE))
>     LOAD15_reload=1'b1;
>    else
>     LOAD15_reload=1'b0;
>   end
>  end
>  else begin
>   if (MODE15)
>    LOAD15_reload=1'b0;
>  end
> 
> I request for reply.
> 
> With regards
> 
> Tomek
> 
> T.Brychcy@ime.pz.zgora.pl

-- 

Rick Collins

rick.collins@XYarius.com

Ignore the reply address. To email me use the above address with the XY
removed.



Arius - A Signal Processing Solutions Company
Specializing in DSP and FPGA design

Arius
4 King Ave
Frederick, MD 21701-3110
301-682-7772 Voice
301-682-7666 FAX

Internet URL http://www.arius.com
Article: 24655
Subject: Re: 8251 USART
From: rickman <spamgoeshere4@yahoo.com>
Date: Wed, 16 Aug 2000 07:35:32 -0400
Links: << >>  << T >>  << A >>
You can use a chip for the USART which will work with both CPU types. 


Eduardo Augusto Bezerra wrote:
> 
> I'm developing a kit to be used in the lab sections of a data
> communications course. My goal is to have a generic board
> which can be used in different courses. For instance, in a
> microprocessors course the students will be able to use the
> same board to learn how to program a RISC CPU or an 8051
> microcontroller. That's the reason why I want to use cores
> instead of the real chips.
> 
> Eduardo.
> 
> rickman wrote:
> >
> > Eduardo Augusto Bezerra wrote:
> > >
> > > Basically, I'm looking for a USART, and the free core I found is a
> > > UART. I agree that the 16550 is better, but where can I find it? As I
> > > said before, it's an academic project and our budget is very low.
> > >
> > > Thanks
> > >
> > > Eduardo.
> >
> > The opencores UART is loosly based on the 16550 I believe. I have
> > emailed with the author of that core and we may work together to convert
> > it to a 16550. But it is also not a USART.
> >
> > If you need a USART device, the 85C30 is a very common device with a
> > large base of software, but I know of no core for it. It is also a much
> > more complex device than the 16550 and would take a lot more work to
> > design.
> >
> > Why do you need a core and not a chip? The 85C30 is very inexpensive
> > ($5) although not terribly small (44 pin PLCC).
> >
> > --
> >
> > Rick Collins
> >
> > rick.collins@XYarius.com
> >
> > Ignore the reply address. To email me use the above address with the XY
> > removed.
> >
> > Arius - A Signal Processing Solutions Company
> > Specializing in DSP and FPGA design
> >
> > Arius
> > 4 King Ave
> > Frederick, MD 21701-3110
> > 301-682-7772 Voice
> > 301-682-7666 FAX
> >
> > Internet URL http://www.arius.com
> 
> --
> Eduardo Augusto Bezerra
> Space Science Centre
> School of Engineering and Information Technology
> University of Sussex
> Brighton, BN1 9QT
> England, UK
> 
> Phones: +44 (0)1273 877086 or +44 (0)700 5568783
> Fax: +44 (0)1273 678399
> EIT II, room 4B11
> 
> *** UK ***
> mailto:E.A.Bezerra@sussex.ac.uk - http://www.sussex.ac.uk/~tapu9
> Space Group's web site: http://www.sussex.ac.uk/engg/research/space
> *** Brasil ***
> mailto:eduardob@inf.pucrs.br - http://www.inf.pucrs.br/~eduardob
> GAPH's web site: http://www.inf.pucrs.br/~gaph
> *** ACM ***
> mailto:eduardob@acm.org

-- 

Rick Collins

rick.collins@XYarius.com

Ignore the reply address. To email me use the above address with the XY
removed.



Arius - A Signal Processing Solutions Company
Specializing in DSP and FPGA design

Arius
4 King Ave
Frederick, MD 21701-3110
301-682-7772 Voice
301-682-7666 FAX

Internet URL http://www.arius.com
Article: 24656
Subject: Re: Non-disclosures in job interviews
From: guymacon@deltanet.com (Guy Macon)
Date: 16 Aug 2000 11:44:43 GMT
Links: << >>  << T >>  << A >>

There is always the Dogbert alternative...

Whenever a corporation tries to get large numbers of people to sign
a one sided agreement, one can use a word processor to create a
document with the same look and feel but modified wording in a few
strategic places.  They can't afford to proofread every copy...

Article: 24657
Subject: Re: Non-disclosures in job interviews
From: OneStone <onestone@chariot.net.au>
Date: Wed, 16 Aug 2000 21:54:45 +0930
Links: << >>  << T >>  << A >>
rickman wrote:
> 
> I am interviewing for jobs and I am finding more than one company that
> wants me to sign a non-disclosure (ND). This is disturbing to me since
> it puts me in a difficult position. Let's say I sign a ND with company A
> and take a job with company B who is a direct competitor. I end up
> working on a project similar to the one that company A told me about. So
> because I interviewed with company A I am now liable for a lawsuit?
> 
> Is this what a lot of companies are doing now? Are interviewees
> generally willing to sign such agreements?
> 
> I had gotten to the point where I decided that I would not "pee in a
> bottle" to conduct an interview. I found that companies were using this
> as a way to reject applicants without giving them a chance to object to
> the drug test results. If you flunk the test because you ate a poppy
> seed roll that morning, you just don't get an offer. No offer, no
> challenges.
> 
> Now they want you to sign away the right to work on a competing product
> just to get an interview?
> 
> --
> 
> Rick Collins
> 

I do embedded systems, I'm mad. I work ludicrous hours, I drink caffeine
and have the worlds most disturbed diet. I consider psychology and
psychiatry one rung at least below witch doctors. I don't do psych
tests, pee in bottles or sign anything to get an interview and neither
should anyone else have to. At the end of the day there is a world wide
shortage of good engineers so if they get too obnoxious with their tests
they won't find many takers, and will end up the losers.
Article: 24658
Subject: Re: Help!!! Bit serial Baugh-Wooley multiplier
From: Ray Andraka <ray@andraka.com>
Date: Wed, 16 Aug 2000 14:33:53 GMT
Links: << >>  << T >>  << A >>
I think it would wind up being bigger and more complex than the scaling
accumulator or serial booth.  If you need serial output or extreme bit rates (no
carry chain) the serial booth might make sense, otherwise the fast carry chain
makes the scaling accumulator smaller.  The serial booth is serial by parallel
with a serial output.  Scaling accumulator is serial by parallel with parallel
output.  A shift register and latch will make the other input serial.

jj_okocha@my-deja.com wrote:
> 
> > Baugh-Wooley is a parallel algorithm by definition.  Its like asking
> how do
> > you do parallel multi CPU processing on a single CPU. Answer you
> don't. You
> 
> Well, that's what I thought when looking at the algorithm, but I
> believe having seen a publication using a bit serial Baugh-Wooley
> multiplier (it was some time ago, and I cannot find it!, anyway, I
> remember that it does not describe it).
> 
> > might want to ask what would be a good bit serial algorithm.
> Could you advice a serial-serial (not serial-parallel) constant
> multiplier to implement on XC4000 series?
> 
> Cheers.
> 
> Sent via Deja.com http://www.deja.com/
> Before you buy.

-- 
-Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
401/884-7930     Fax 401/884-7950
email ray@andraka.com  
http://www.andraka.com  or http://www.fpga-guru.com
Article: 24659
Subject: Re: fifo;s
From: Ray Andraka <ray@andraka.com>
Date: Wed, 16 Aug 2000 14:37:02 GMT
Links: << >>  << T >>  << A >>
there are some older appnotes for the 4K family that describe async fifos for
the CLB RAM.  In any case, the techniques are the same as for the block RAM
except that you use the CLB RAM in in dual port mode.  I thought the xilinx fifo
core gave you a choice of which kind of ram it uses.

Richard Meester wrote:
> 
> Hello all,
> 
> I need to implement small fifo's, with 2 diiferent clock domains. They
> need to be 4 bit wide, and 16 deep. Can anyone suggest how to implement
> these fifo's without waisting lots of ram using the blockram devices,
> since they com in 4096bit, and i only have 12 of them, and i need more
> than 12 fifo's. I have looked at the xilinx site, but they only have
> notes subscribing the use of blockram, and the other notes using single
> ram, have the same clock domains.
> 
> Regards,
> 
> Richard.
> 
> Ps. the device i am targeting is a spartan2.
> 
> --
> Quest Innovations
> tel: +31 (0) 227 604046
> http://www.quest-innovations.com

-- 
-Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
401/884-7930     Fax 401/884-7950
email ray@andraka.com  
http://www.andraka.com  or http://www.fpga-guru.com
Article: 24660
Subject: Re: fifo;s
From: Peter Alfke <palfke@earthlink.net>
Date: Wed, 16 Aug 2000 14:49:29 GMT
Links: << >>  << T >>  << A >>
Most important questions:
What is the max clock speed?
And do any of these FIFOs have a common clock?

Peter Alfke

Richard Meester wrote:

> Hello all,
>
> I need to implement small fifo's, with 2 diiferent clock domains. They
> need to be 4 bit wide, and 16 deep. Can anyone suggest how to implement
> these fifo's without waisting lots of ram using the blockram devices,
> since they com in 4096bit, and i only have 12 of them, and i need more
> than 12 fifo's. I have looked at the xilinx site, but they only have
> notes subscribing the use of blockram, and the other notes using single
> ram, have the same clock domains.
>
> Regards,
>
> Richard.
>
> Ps. the device i am targeting is a spartan2.
>
> --
> Quest Innovations
> tel: +31 (0) 227 604046
> http://www.quest-innovations.com

Article: 24661
Subject: Re: Non-disclosures in job interviews
From: "¸.·´¯`·.¸.·>Strings" <ggilbert@prsguitars.com>
Date: Wed, 16 Aug 2000 11:03:37 -0400
Links: << >>  << T >>  << A >>
Well put and agreed.  It is a two-way street.


Article: 24662
Subject: Re: Non-disclosures in job interviews
From: "¸.·´¯`·.¸.·>Strings" <ggilbert@prsguitars.com>
Date: Wed, 16 Aug 2000 11:11:51 -0400
Links: << >>  << T >>  << A >>
NDA are definitely enforceable, but there must be
a compromise to the promise that causes damages, which are loosly defined.
The point of the thread initially was merely the point that in order
to get a fell for each other, we have to know what each other is doing, and
capable of.  "We'd like to give you enough info so that we can figure
out if you can do the job, and also find out if you are interested.
please don't compromise this."

The sticky part is that of competitive industries.

Typically, even non-compete agreements have tended to be somewhat
uneforceable, and
often not worth pursuing.  It depends on the level of the employee and the
amount
of direct comprison.

I know a very large company who has said they will not hire me into a
certain situation
because they have ethics concerns about acquiring the braingpower behind
both
a competitor's technolgy, and a partner (I have been involved in both).
Thus, the problem is that they want me to work, and will hire me, just
not in their desired roll.  Given some time separation, they will then
work me into that product/research group.

And emphasizing your point, the "perspective" of importance by the hiring
company
tends to be the defining roll, not the actual value or importance.

What are ya gonna do?  Catch 22.  Sign it and see, or go elsewhere.
I personally haven't found it so difficult to sign the NDA.
It's dependent upon the level of employment, and the directness of
competition.

I was once hired by a relative competitor, but not directly competitive on
the work
I was doing.  I was very friendly with my previous employer.  They were
ready
to send warning letters, reminding me of my NDAs.  Then, rethought it and
felt
that our good relationship (I left not to go to work for another company,
but
to move to another area, which then required me to find work) warranted
trust.
I have not, to this day, renigged on that.  But, I can understand their
desire
to protect themselves competitively.  Company's should,  This case
was isolated - i had a lot of personal ties.


Article: 24663
Subject: Re: Non-disclosures in job interviews
From: "Rick Cortese" <ricortes@netmagic.net>
Date: Wed, 16 Aug 2000 08:12:58 -0700
Links: << >>  << T >>  << A >>
"rickman" <spamgoeshere4@yahoo.com> wrote in message
news:399A2F69.1D97BE2B@yahoo.com...
> Here is the latest. I have received both NDAs and they are rather one
> sided. Unfortunately they both have numerous references to the company's
> names all through the documents, so I will not be able to post them for
> a couple of days when I have more time to edit them.
>
> In a nutshell here are the two summaries.
>
> A) A "biotech" company that uses patented technologies to make their
> products unique and solesourced.
>
> I have been presented with a combined application, list of employment
> contingencies and NDA. The employment contingencies are:
>
> Signing "proprietary and non-disclosure agreement"
> Form I-9
> Passing a physical exam (they are a biotech company, so there is
> exposure risk)
> Verification of application info
>
> NDA includes:
>
> Long discription of "CONFIDENTIAL INFORMATION" without specifics.
> Example: "diagnostic methods and instruments based on electro....ence"
> [altered to protect identity].
>
> a) (many company names) may disclose CONFIDENTIAL INFORMATION to
> Applicant, but that
> b) Applicant will not disclose his/her confidential information to (many
> company names).
>
> list of non-applicability such as "information received from a third
> party"...
>
> time limit on agreement of 5 years.

OK, here is the scoop. Probably means they are a patent orientated company
vs proprietary.

I am fairly familiar with the patent process. Basically, it is a public
disclosure of technology, methods, or design in exchange for exclusive
rights for a 17 year period.

In addition to the obvious, there are several reasons for a patent not being
granted. One of these is the information is already publically known. For
example, someone is using xyz to develop product widget, you get a paper
published that says company xyz is doing xyz to make a widget or even leak
the info to other companies such that it is widely known before the patent
is granted, the patent department will refuse to grant the patent. The
company is screwed to put it mildly. Lot's of things are quite easily
reverse engineered. For some, like medical devices or safety devices<seat
belts, fire exstinguishers, etc> you have to disclose every detail about the
device including manufacturering methods, componets, testing procedures. It
isn't like reverse engineering, more like following a cookbook.

The 5 year thing probably just means they will have all the legal protection
they need by that time or will have progressed to the point where any
information they gave out is obsolete.

Under the circumstances, I would probably tell people *NOT* to buy stock in
any company in that position that didn't ask people to sign an NDA, just
common sense.

But not a big thing if you don't feel comfortable working for a company that
does it. Just don't work there and don't hold a grudge. Understand that they
are doing it because they have to, nothing personal.

If I were you, I would go to one of the patent databases on the web and dig
up all the patents the company has so far just for personal info. You may be
able to deduce where they are headed and how much you want to be involved.
Could be anything from biowarefare to something near and dear to your heart
that are willing to sign the NDA for.


Article: 24664
Subject: Re: error during synthesis
From: Philip Freidin <philip@fliptronics.com>
Date: Wed, 16 Aug 2000 08:24:38 -0700
Links: << >>  << T >>  << A >>
On Wed, 16 Aug 2000 07:33:07 -0400, rickman <spamgoeshere4@yahoo.com> wrote:

>The error is just what it says. You are trying to async set to either a
>1 or a 0 depending on ((TRIGGER_WORKS) || (TRIGGER_WORKS &
>COUNT_ENABLE)). This means that you need both an async reset and an
>async set. The FFs can not support both, you have to chose one or the
>other. 
>

But the section you identified is inside the if(GATE) block, with an
always @(posedge GATE .... ) controlling it. 

Maybe the issue is that the "posedge intoCounter" does not seem to be
used in the block, or maybe the final "LOAD15_reload=1'b0;" which is
in the else clause of "if (GATE)" is confusing it, since this I think mean
that since I only enter this block if either GATE or intoCounter have a
posedge, and the else clause means that it wasn't posedge GATE,
so it must be posedge intoCounter, this implies a FF with two clock pins???



>Tomasz Brychcy wrote:
>> 
>> Hello
>> It occurs error during synthesis.
>> The error is:
>> sequential mapping has detected that cell 'LOAD15_reload' has uses both the
>> asynchronous 'set' and 'clear' pins.
>> 
>> The fragment of the code is below:
>> 
>> always @(posedge GATE or posedge intoCounter)
>> 
>>  if (GATE) begin
>>   if (MODE15) begin
>>    if ((TRIGGER_WORKS) || (TRIGGER_WORKS & COUNT_ENABLE))
>>     LOAD15_reload=1'b1;
>>    else
>>     LOAD15_reload=1'b0;
>>   end
>>  end
>>  else begin
>>   if (MODE15)
>>    LOAD15_reload=1'b0;
>>  end
>> 
>> I request for reply.
>> 
>> With regards
>> 
>> Tomek
>> 
>> T.Brychcy@ime.pz.zgora.pl

Philip Freidin

Mindspring that acquired Earthlink that acquired Netcom has
decided to kill off all Shell accounts, including mine.

My new primary email address is    philip@fliptronics.com

I'm sure the inconvenience to you will be less than it is for me.
Article: 24665
Subject: Re: what does 0.35 micron mean
From: "Jan Gray" <jsgray@acm.org>
Date: Wed, 16 Aug 2000 15:40:36 GMT
Links: << >>  << T >>  << A >>
There is a superb 10 page report on "Extreme Lithography" by Keith
Diefendorff in the June 2000 Microprocessor Report.

Diefendorff says that three years ago, candidates for next-generation
lithography were
* X-ray lithography
* ion-projection lithography
* e-beam direct write
* electron-projection lithography (EPL)
* extreme-UV lithography (EUVL)
but now through "superhuman" progress, EUVL has become a leading candidate
alongside EPL.  The article goes into loving detail about the VNL+EUV LLC's
EUVL engineering test stand (ETS), "a complete 13.4 nm stepper capable of
imaging 70 nm features on a 200 mm wafer ..."

According to the article, the ETS illuminator stage is powered by a 1700 W
pulsed YAG laser which excites a jet of neon gas into a hot plasma which
emits 10 W of EUW at 13.4 nm.  A set of mirrors converges some of this into
a 1 W stripe that illuminates the 4 X reflective mask.  The reflected EUV is
4:1 reduced through a 4 mirror camera and illuminates the wafer. It's a
step-and-scan system (the mask is scanned as the wafer is scanned).  The
mirrors ("reflecting the unreflectable") are made to astonishing precision.

The article celebrates how so many apparently "insurmountable" obstacles
have been overcome.   Amazing stuff, but more problems remain to be solved
en route to production tools (scheduled "late 2005").

Jan Gray
Gray Research LLC



Article: 24666
Subject: Re: Non-disclosures in job interviews
From: weknews@my-deja.com
Date: Wed, 16 Aug 2000 15:44:42 GMT
Links: << >>  << T >>  << A >>
Now I truly understand why everybody poops on E. Robert Dorkhead.  It
is well deserved....

In article <3998957A.E28DDD03@netwood.net>,
  "E. Robert Tisdale" <edwin@netwood.net> wrote:
> rickman wrote:
>
> > "E. Robert Tisdale" wrote:
> > >
> > > rickman wrote:
> > >
> > > > I am interviewing for jobs and I am finding more than one
company
> > > > that wants me to sign a non-disclosure (ND).
> > > > This is disturbing to me since it puts me in a difficult
position.
> > > > Let's say I sign a ND with company A
> > > > and take a job with company B who is a direct competitor.
> > > > I end up working on a project
> > > > similar to the one that company A told me about.
> > > > So because I interviewed with company A
> > > > I am now liable for a lawsuit?
> > > >
> > > > Is this what a lot of companies are doing now?
> > > > Are interviewees generally willing to sign such agreements?
> > > >
> > > > I had gotten to the point where I decided that
> > > > I would not "pee in a bottle" to conduct an interview.
> > > > I found that companies were using this as a way to reject
applicants
> > > > without giving them a chance to object to the drug test results.
> > > > If you flunk the test because you ate a poppy seed roll that
morning,
> > > > you just don't get an offer.  No offer, no challenges.
> > > >
> > > > Now they want you to sign away the right to work
> > > > on a competing product just to get an interview?
> > >
> > > Nonsense!
> > >
> > > Nobody is asking you to sign a non compete agreement
> > > before you interview or accept a job offer.
> > > They are asking you to promise to sign a non compete agreement
> > > if you are offered a job and accept that offer.
> > > Your prospective employer needs to be "up front" with you
> > > and tell you everything that is expected of you
> > > should you accept employment.
> > > No contract between you and a prospective employer
> > > would have any force in law unless they paid you.
> > >
> > > If you feel queezy about signing a non compete agreement,
> > > look for work elsewhere.  Don't waste your time or theirs.
> >
> > I don't know where you got your information. I have been asked to
sign a
> > non-disclosure agreement for the interview process. One company
> > specifically said that they don't feel they can conduct a proper
> > interview without revealing sensitive information. I think that is
> > nonsense! This was stated as a precondition to the interview along
with
> > completing an application. It did not even come out until I asked if
> > there was anything that I needed to sign. I have been through a few
> > interviews over the years.
> >
> > I don't know that a non-disclosure would not be enforceable just
because
> > I was not hired. I remember a story (of course I don't know for
sure it
> > is true, but it was in the book "Fire in the Valley") that told of
IBM
> > requiring Microsoft to sign that MS would not disclose any
proprietary
> > info in their first meeting. Then when IBM was happy that MS had
> > something to offer, they had MS sign a ND for the second meeting
where
> > IBM spilled thier beans. In neither case did the two companies have
any
> > working agreements that required money to be given. The only
requirement
> > for the ND contract to be enforceable in that regard is that each
side
> > received "consideration". This can take many forms.
> >
> > But you are way off base telling me that you know what I was told
and
> > that I don't.
>
> A non disclosure agreement wouldn't prevent you
> from working for a competitor.
> You just agree not to disclose what you learn in the interview.
> If the agreement actually prevents you from going to work
> for a competitor, it is a non compete agreement.
> Have you read the agreement?  Can you post it here?
> If you need to retain a lawyer to tell you whether you are signing
> a non disclosure agreement or a non compete agreement,
> you shouldn't sign anything.  Just look for work elsewhere.
>
>


Sent via Deja.com http://www.deja.com/
Before you buy.
Article: 24667
Subject: Re: Non-disclosures in job interviews
From: weknews@my-deja.com
Date: Wed, 16 Aug 2000 15:55:47 GMT
Links: << >>  << T >>  << A >>
In article <399A880D.64E97B41@chariot.net.au>,
  OneStone <onestone@chariot.net.au> wrote:
> rickman wrote:
> >
> > I am interviewing for jobs and I am finding more than one company
that
> > wants me to sign a non-disclosure (ND). This is disturbing to me
since
> > it puts me in a difficult position. Let's say I sign a ND with
company A
> > and take a job with company B who is a direct competitor. I end up
> > working on a project similar to the one that company A told me
about. So
> > because I interviewed with company A I am now liable for a lawsuit?
> >
> > Is this what a lot of companies are doing now? Are interviewees
> > generally willing to sign such agreements?
> >
> > I had gotten to the point where I decided that I would not "pee in a
> > bottle" to conduct an interview. I found that companies were using
this
> > as a way to reject applicants without giving them a chance to
object to
> > the drug test results. If you flunk the test because you ate a poppy
> > seed roll that morning, you just don't get an offer. No offer, no
> > challenges.
> >
> > Now they want you to sign away the right to work on a competing
product
> > just to get an interview?
> >
> > --
> >
> > Rick Collins
> >
>
> I do embedded systems, I'm mad. I work ludicrous hours, I drink
caffeine
> and have the worlds most disturbed diet. I consider psychology and
> psychiatry one rung at least below witch doctors. I don't do psych
> tests, pee in bottles or sign anything to get an interview and neither
> should anyone else have to. At the end of the day there is a world
wide
> shortage of good engineers so if they get too obnoxious with their
tests
> they won't find many takers, and will end up the losers.

Unless they use the lack of takers to convince the gubbament to
increase H-1Bs.....


>


Sent via Deja.com http://www.deja.com/
Before you buy.
Article: 24668
Subject: Re: Non-disclosures in job interviews
From: Peter Alfke <peter@xilinx.com>
Date: Wed, 16 Aug 2000 09:31:17 -0700
Links: << >>  << T >>  << A >>
I don't get it:
Why would any interviewer discuss patent-worthy details with an applicant? You
try to hire somebody who is smart and energetic and will be loyal. And as an
interviewer you also have to be smart and cunning, so as not to divulge big
secerts.
By the way, in the US you have a full year to apply for your patent AFTER you
have told anybody or everybody everything about it, including a published
magazine article printed in 100,000 copies. ( Not so in Europe ! )

Peter Alfke

Rick Cortese wrote:

> "rickman" <spamgoeshere4@yahoo.com> wrote in message
> news:399A2F69.1D97BE2B@yahoo.com...
> > Here is the latest. I have received both NDAs and they are rather one
> > sided. Unfortunately they both have numerous references to the company's
> > names all through the documents, so I will not be able to post them for
> > a couple of days when I have more time to edit them.
> >
> > In a nutshell here are the two summaries.
> >
> > A) A "biotech" company that uses patented technologies to make their
> > products unique and solesourced.
> >
> > I have been presented with a combined application, list of employment
> > contingencies and NDA. The employment contingencies are:
> >
> > Signing "proprietary and non-disclosure agreement"
> > Form I-9
> > Passing a physical exam (they are a biotech company, so there is
> > exposure risk)
> > Verification of application info
> >
> > NDA includes:
> >
> > Long discription of "CONFIDENTIAL INFORMATION" without specifics.
> > Example: "diagnostic methods and instruments based on electro....ence"
> > [altered to protect identity].
> >
> > a) (many company names) may disclose CONFIDENTIAL INFORMATION to
> > Applicant, but that
> > b) Applicant will not disclose his/her confidential information to (many
> > company names).
> >
> > list of non-applicability such as "information received from a third
> > party"...
> >
> > time limit on agreement of 5 years.
>
> OK, here is the scoop. Probably means they are a patent orientated company
> vs proprietary.
>
> I am fairly familiar with the patent process. Basically, it is a public
> disclosure of technology, methods, or design in exchange for exclusive
> rights for a 17 year period.
>
> In addition to the obvious, there are several reasons for a patent not being
> granted. One of these is the information is already publically known. For
> example, someone is using xyz to develop product widget, you get a paper
> published that says company xyz is doing xyz to make a widget or even leak
> the info to other companies such that it is widely known before the patent
> is granted, the patent department will refuse to grant the patent. The
> company is screwed to put it mildly. Lot's of things are quite easily
> reverse engineered. For some, like medical devices or safety devices<seat
> belts, fire exstinguishers, etc> you have to disclose every detail about the
> device including manufacturering methods, componets, testing procedures. It
> isn't like reverse engineering, more like following a cookbook.
>
> The 5 year thing probably just means they will have all the legal protection
> they need by that time or will have progressed to the point where any
> information they gave out is obsolete.
>
> Under the circumstances, I would probably tell people *NOT* to buy stock in
> any company in that position that didn't ask people to sign an NDA, just
> common sense.
>
> But not a big thing if you don't feel comfortable working for a company that
> does it. Just don't work there and don't hold a grudge. Understand that they
> are doing it because they have to, nothing personal.
>
> If I were you, I would go to one of the patent databases on the web and dig
> up all the patents the company has so far just for personal info. You may be
> able to deduce where they are headed and how much you want to be involved.
> Could be anything from biowarefare to something near and dear to your heart
> that are willing to sign the NDA for.

Article: 24669
Subject: Re: Non-disclosures in job interviews
From: p.kootsookos@remove.ieee.org
Date: 16 Aug 2000 16:37:46 +0000
Links: << >>  << T >>  << A >>
"Rick Cortese" <ricortes@netmagic.net> writes:

> For some, like medical devices or safety devices<seat
> belts, fire exstinguishers, etc> you have to disclose every detail about the
> device including manufacturering methods, componets, testing procedures.

In the case of seat belts (the usual 3-point harness, I think), Volvo
patented it but have made use of the patent royalty free for
altruistic reasons.

Ciao,

Peter K.

-- 
Peter J. Kootsookos
Wb: www.clubi.ie/PeterK
Article: 24670
Subject: Re: 8251 USART
From: Eduardo Augusto Bezerra <E.A.Bezerra@sussex.ac.uk>
Date: Wed, 16 Aug 2000 17:39:39 +0100
Links: << >>  << T >>  << A >>

Sorry, I think my explanation wasn't very clear. The idea is to have
a specialized hardware for each course. For example:

COURSE 1: Data Communications
--------
         Hardware necessary: USART and manchester encoder/decoder

COURSE 2: Microprocessors
--------
         Hardware necessary: 8051 microcontroller

COURSE 3: Computer architecture
--------
         Hardware necessary: RISC CPU

COURSE 4: ...
--------

I'll use the same board, with the same chips (a big FPGA), in different
courses. Students from COURSE 1, for instance, do not need to use an
8051, and so they will download to the board the bitstream for COURSE 1,
which has only the USART and the manchester encoder/decoder. There are
some extra details related to the prototyping area available on the
board, but I think they are not relevant in this discussion.

Eduardo.

rickman wrote:
> 
> You can use a chip for the USART which will work with both CPU types.
> 
> Eduardo Augusto Bezerra wrote:
> >
> > I'm developing a kit to be used in the lab sections of a data
> > communications course. My goal is to have a generic board
> > which can be used in different courses. For instance, in a
> > microprocessors course the students will be able to use the
> > same board to learn how to program a RISC CPU or an 8051
> > microcontroller. That's the reason why I want to use cores
> > instead of the real chips.
> >
> > Eduardo.
> >
> > rickman wrote:
> > >
> > > Eduardo Augusto Bezerra wrote:
> > > >
> > > > Basically, I'm looking for a USART, and the free core I found is a
> > > > UART. I agree that the 16550 is better, but where can I find it? As I
> > > > said before, it's an academic project and our budget is very low.
> > > >
> > > > Thanks
> > > >
> > > > Eduardo.
> > >
> > > The opencores UART is loosly based on the 16550 I believe. I have
> > > emailed with the author of that core and we may work together to convert
> > > it to a 16550. But it is also not a USART.
> > >
> > > If you need a USART device, the 85C30 is a very common device with a
> > > large base of software, but I know of no core for it. It is also a much
> > > more complex device than the 16550 and would take a lot more work to
> > > design.
> > >
> > > Why do you need a core and not a chip? The 85C30 is very inexpensive
> > > ($5) although not terribly small (44 pin PLCC).
> > >
> > > --
> > >
> > > Rick Collins
> > >
> > > rick.collins@XYarius.com
> > >
> > > Ignore the reply address. To email me use the above address with the XY
> > > removed.
> > >
> > > Arius - A Signal Processing Solutions Company
> > > Specializing in DSP and FPGA design
> > >
> > > Arius
> > > 4 King Ave
> > > Frederick, MD 21701-3110
> > > 301-682-7772 Voice
> > > 301-682-7666 FAX
> > >
> > > Internet URL http://www.arius.com
> >
> > --
> > Eduardo Augusto Bezerra
> > Space Science Centre
> > School of Engineering and Information Technology
> > University of Sussex
> > Brighton, BN1 9QT
> > England, UK
> >
> > Phones: +44 (0)1273 877086 or +44 (0)700 5568783
> > Fax: +44 (0)1273 678399
> > EIT II, room 4B11
> >
> > *** UK ***
> > mailto:E.A.Bezerra@sussex.ac.uk - http://www.sussex.ac.uk/~tapu9
> > Space Group's web site: http://www.sussex.ac.uk/engg/research/space
> > *** Brasil ***
> > mailto:eduardob@inf.pucrs.br - http://www.inf.pucrs.br/~eduardob
> > GAPH's web site: http://www.inf.pucrs.br/~gaph
> > *** ACM ***
> > mailto:eduardob@acm.org
> 
> --
> 
> Rick Collins
> 
> rick.collins@XYarius.com
> 
> Ignore the reply address. To email me use the above address with the XY
> removed.
> 
> Arius - A Signal Processing Solutions Company
> Specializing in DSP and FPGA design
> 
> Arius
> 4 King Ave
> Frederick, MD 21701-3110
> 301-682-7772 Voice
> 301-682-7666 FAX
> 
> Internet URL http://www.arius.com

--
Eduardo Augusto Bezerra
Space Science Centre
School of Engineering and Information Technology
University of Sussex
Brighton, BN1 9QT
England, UK

Phones: +44 (0)1273 877086 or +44 (0)700 5568783
Fax: +44 (0)1273 678399
EIT II, room 4B11

*** UK ***
mailto:E.A.Bezerra@sussex.ac.uk - http://www.sussex.ac.uk/~tapu9
Space Group's web site: http://www.sussex.ac.uk/engg/research/space
*** Brasil ***
mailto:eduardob@inf.pucrs.br - http://www.inf.pucrs.br/~eduardob
GAPH's web site: http://www.inf.pucrs.br/~gaph
*** ACM ***
mailto:eduardob@acm.org
Article: 24671
Subject: Re: Non-disclosures in job interviews
From: Jon Kirwan <jkirwan@easystreet.com>
Date: Wed, 16 Aug 2000 09:56:28 -0700
Links: << >>  << T >>  << A >>
On Wed, 16 Aug 2000 11:11:51 -0400, "¸.·´¯`·.¸.·>Strings"
<ggilbert@prsguitars.com> wrote:

>What are ya gonna do?  Catch 22.  Sign it and see, or go elsewhere.
>I personally haven't found it so difficult to sign the NDA.
>It's dependent upon the level of employment, and the directness of
>competition.

I'd not sign and I haven't ever done so, for a job interview, in the
nearly 30 years I've been in business.  There hasn't been a need yet.

I suppose if the business was interested in sitting down with me to
explain in great detail, on paper as an addendum, what exactly it was
they intended and if it made sense when made concrete that way, I
might.  But for a job interview, that sounds like a big burden to
start on.  We'd probably both be better off saving the effort.

No NDAs just for a job interview.  The fact that some people are in a
bad enough situation, job wise, to need to sign such things doesn't
make them sensible.  They aren't.

However, I'll have to defer on whether there is substance with which
to enforce them on.  I don't really know.  But it doesn't seem that
there is much worthy of enforcement, since there is no way the signer
knows what they are expected to do under it.  They probably don't
know, even after they leave.  There is no meeting of the minds and
probably no value received.  But I'm not an attorney.

It's still stupid to sign one for an interview, in my opinion.

Jon
Article: 24672
Subject: Re: JTAG, Xilinx, Winnt, and the Parallel Port
From: steve (Steve Rencontre)
Date: Wed, 16 Aug 2000 18:45 +0100 (BST)
Links: << >>  << T >>  << A >>
In article <8nbrs6$l45@news.or.intel.com>, stb5@cornell.edu (Steve Berman) 
wrote:

> I am working on a multi-FPGA project using Virtex series parts which we 
> want
> to be able to program via the JTAG interface.  I have the Xilinx JTAG
> parallel port cable as well as the alliance programing s/w, and they 
> work
> fine.  However, I want to be able to program (and possibly perform some
> boundary scan tests) from within my own home brew software.  I've played
> around a bit and found that, while I can access the parallel port and 
> still
> leverage the Xilinx cable, I am unable to get nearly the port 
> performace the
> Xilinx software gets.  (less than half...120Kbps vs 300Kbps).

How are you accessing the port? With a dedicated kernel driver? If you're 
doing it with one of the generic port drivers, there's a tremendous amount 
of overhead per I/O.

I use a JTAG driver which transfers an entire serial bitstream with a 
single user-mode I/O call, which means the speed is almost entirely 
limited by the parallel port hardware. For a standard port, the 
theoretical limit is about 500kbps, and even quite a simple driver can get 
close to that.

--
Steve Rencontre		http://www.rsn-tech.co.uk
//#include <disclaimer.h>

Article: 24673
Subject: Re: Non-disclosures in job interviews
From: rickman <spamgoeshere4@yahoo.com>
Date: Wed, 16 Aug 2000 14:30:01 -0400
Links: << >>  << T >>  << A >>
I thought of this, but I decided that this was not the best way to
handle the matter. I am not looking to create any problems, which this
would do. I decided it was best to deal with the issue in a direct and
straight forward manner. Then they can't say, "no one has ever
complained about this before". 

I am writing a longer post to describe my experience in the interview
this morning. I should have it done later tonight.



Guy Macon wrote:
> 
> There is always the Dogbert alternative...
> 
> Whenever a corporation tries to get large numbers of people to sign
> a one sided agreement, one can use a word processor to create a
> document with the same look and feel but modified wording in a few
> strategic places.  They can't afford to proofread every copy...

-- 

Rick Collins

rick.collins@XYarius.com

Ignore the reply address. To email me use the above address with the XY
removed.



Arius - A Signal Processing Solutions Company
Specializing in DSP and FPGA design

Arius
4 King Ave
Frederick, MD 21701-3110
301-682-7772 Voice
301-682-7666 FAX

Internet URL http://www.arius.com
Article: 24674
Subject: Re: Non-disclosures in job interviews
From: rickman <spamgoeshere4@yahoo.com>
Date: Wed, 16 Aug 2000 14:45:42 -0400
Links: << >>  << T >>  << A >>
"¸.·´¯`·.¸.·>Strings" wrote:
> 
> The sticky part is that of competitive industries.
> 
> Typically, even non-compete agreements have tended to be somewhat
> uneforceable, and
> often not worth pursuing.  It depends on the level of the employee and the
> amount
> of direct comprison.
> 
> I know a very large company who has said they will not hire me into a
> certain situation
> because they have ethics concerns about acquiring the braingpower behind
> both
> a competitor's technolgy, and a partner (I have been involved in both).
> Thus, the problem is that they want me to work, and will hire me, just
> not in their desired roll.  Given some time separation, they will then
> work me into that product/research group.

Ok, so assume that instead of having worked for those two companies, you
instead had interviewed with both of them where they had you sign NDAs
and told you "valuable" secret information. Wouldn't you be in the same
position of needing to work in a different area with your new employer?
Certainly there is not the same degree of concern. But it is the same
concern and you would be exposing yourself to a potential lawsuit. 

 
> And emphasizing your point, the "perspective" of importance by the hiring
> company
> tends to be the defining roll, not the actual value or importance.
> 
> What are ya gonna do?  Catch 22.  Sign it and see, or go elsewhere.
> I personally haven't found it so difficult to sign the NDA.
> It's dependent upon the level of employment, and the directness of
> competition.

I don't understand the "level of employment" issue. In an interview
there is *NO* level of employment. Or are you saying the level of the
job? Certainly a VP would be exposed to sensitive information. But how
would you ever protect yourself (the hiring company) from the "valuable"
information getting back to the present employer? An NDA will only
protect a company if you can show that information was leaked from your
company. 



-- 

Rick Collins

rick.collins@XYarius.com

Ignore the reply address. To email me use the above address with the XY
removed.



Arius - A Signal Processing Solutions Company
Specializing in DSP and FPGA design

Arius
4 King Ave
Frederick, MD 21701-3110
301-682-7772 Voice
301-682-7666 FAX

Internet URL http://www.arius.com


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search