Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 135650

Article: 135650
Subject: Re: XMOS XC-1 kits are shipping
From: Benjamin Couillard <benjamin.couillard@gmail.com>
Date: Sat, 11 Oct 2008 07:57:25 -0700 (PDT)
Links: << >>  << T >>  << A >>
On 10 oct, 13:44, Leon <leon...@btinternet.com> wrote:
> On 10 Oct, 18:11, Benjamin Couillard <benjamin.couill...@gmail.com>
> wrote:
>
>
>
> > On 10 oct, 09:01, Leon <leon...@btinternet.com> wrote:
>
> > > I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>
> > > The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>
> > > I haven't been so excited about a new chip since the transputer came
> > > out. David May designed them both, of course.
>
> > > Leon
> > > leon...@btinternet.com
>
> > Don't be surprised if people are skeptical.
>
> > Remember what happened to the company that made Field-programmable-
> > object arrays?
>
> These are processors, not FPGAs.
>
> Leon


Article: 135651
Subject: Re: XMOS XC-1 kits are shipping
From: Benjamin Couillard <benjamin.couillard@gmail.com>
Date: Sat, 11 Oct 2008 07:59:24 -0700 (PDT)
Links: << >>  << T >>  << A >>
On 10 oct, 13:44, Leon <leon...@btinternet.com> wrote:
> On 10 Oct, 18:11, Benjamin Couillard <benjamin.couill...@gmail.com>
> wrote:
>
>
>
> > On 10 oct, 09:01, Leon <leon...@btinternet.com> wrote:
>
> > > I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>
> > > The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>
> > > I haven't been so excited about a new chip since the transputer came
> > > out. David May designed them both, of course.
>
> > > Leon
> > > leon...@btinternet.com
>
> > Don't be surprised if people are skeptical.
>
> > Remember what happened to the company that made Field-programmable-
> > object arrays?
>
> These are processors, not FPGAs.
>
> Leon

I'm well aware of that, I'm just saying that we shouldn't always
believe the hype. I'll believe it when I see it..

Article: 135652
Subject: Re: XMOS XC-1 kits are shipping
From: Simon <google@gornall.net>
Date: Sat, 11 Oct 2008 10:01:41 -0700 (PDT)
Links: << >>  << T >>  << A >>
On Oct 10, 6:01=A0am, Leon <leon...@btinternet.com> wrote:
> I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>
> The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>
> I haven't been so excited about a new chip since the transputer came
> out. David May designed them both, of course.
>
> Leon
> leon...@btinternet.com

You know, Leon, quite apart from the fact that this is a processor not
an FPGA (and this is comp.arch.fpga),  I just can't believe you're not
either a paid shill, or you work for this company... I confess I did a
quick google of XMOS XC1, and the top hits were all about you posting
to various different newsgroups, web-boards, forums, etc. All with
fundamentally the same message...

Examples:
   - http://www.embeddedrelated.com/groups/lpc2000/show/35234.php
   - http://www.avrfreaks.net/index.php?name=3DPNphpBB2&file=3Dviewtopic&t=
=3D69913
   - http://forum.sparkfun.com/viewtopic.php?p=3D56848&sid=3Dc7a9b3209e4acb=
01b4a04bbf1e0983ae
   - http://www.motherboardpoint.com/t186774-xmos-xc1-kits-are-shipping.htm=
l
   - this group as well, of course, as well as comp.arch.embedded,
comp.dsp, ...

I don't mind (I actually think it's useful) when companies post new-
releases on the 'net, I just object to it being underhanded. Pretty
much everyone else has the common decency to identify when they're
posting commercially. Why don't you ?

As soon as I realised you weren't sufficiently confident in your own
product to stand behind it, I lost interest - so if you'd just openly
posted the information you might have not lost a customer...

Simon.


Article: 135653
Subject: Re: XMOS XC-1 kits are shipping
From: Leon <leon355@btinternet.com>
Date: Sat, 11 Oct 2008 10:23:22 -0700 (PDT)
Links: << >>  << T >>  << A >>
On 11 Oct, 18:01, Simon <goo...@gornall.net> wrote:
> On Oct 10, 6:01=A0am, Leon <leon...@btinternet.com> wrote:
>
> > I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>
> > The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>
> > I haven't been so excited about a new chip since the transputer came
> > out. David May designed them both, of course.
>
> > Leon
> > leon...@btinternet.com
>
> You know, Leon, quite apart from the fact that this is a processor not
> an FPGA (and this is comp.arch.fpga), =A0I just can't believe you're not
> either a paid shill, or you work for this company... I confess I did a
> quick google of XMOS XC1, and the top hits were all about you posting
> to various different newsgroups, web-boards, forums, etc. All with
> fundamentally the same message...
>
> Examples:
> =A0 =A0-http://www.embeddedrelated.com/groups/lpc2000/show/35234.php
> =A0 =A0-http://www.avrfreaks.net/index.php?name=3DPNphpBB2&file=3Dviewtop=
ic&t=3D69913
> =A0 =A0-http://forum.sparkfun.com/viewtopic.php?p=3D56848&sid=3Dc7a9b3209=
e4acb01b...
> =A0 =A0-http://www.motherboardpoint.com/t186774-xmos-xc1-kits-are-shippin=
g.html
> =A0 =A0- this group as well, of course, as well as comp.arch.embedded,
> comp.dsp, ...
>
> I don't mind (I actually think it's useful) when companies post new-
> releases on the 'net, I just object to it being underhanded. Pretty
> much everyone else has the common decency to identify when they're
> posting commercially. Why don't you ?
>
> As soon as I realised you weren't sufficiently confident in your own
> product to stand behind it, I lost interest - so if you'd just openly
> posted the information you might have not lost a customer...
>
> Simon.

I don't work for XMOS. I just like the devices, and believe people
should know about them. Those are all forums I use a lot, and several
people there have thanked me for bringing them to their attention, and
are getting involved. I don't see what is wrong with that.

A single XMOS device can often replace a DSP and an FPGA, which is one
of the reasons I'm using it, and why I thought it might be of interest
to the FPGA community.

I am using them in a couple of applications, I have a vested interest
in XMOS succeeding.

Leon

Article: 135654
Subject: Re: XMOS XC-1 kits are shipping
From: "Hamish Shufflebotham" <h.shuff@chufftypuffty.com>
Date: Sat, 11 Oct 2008 18:44:04 +0100
Links: << >>  << T >>  << A >>

"Leon" <leon355@btinternet.com> wrote in message 
news:3a7e63d4-23af-4199-8993-f4a8a4e2196b@m3g2000hsc.googlegroups.com...
On 11 Oct, 18:01, Simon <goo...@gornall.net> wrote:


I don't work for XMOS. I just like the devices, and believe people
should know about them. Those are all forums I use a lot, and several
people there have thanked me for bringing them to their attention, and
are getting involved. I don't see what is wrong with that.

A single XMOS device can often replace a DSP and an FPGA, which is one
of the reasons I'm using it, and why I thought it might be of interest
to the FPGA community.

I am using them in a couple of applications, I have a vested interest
in XMOS succeeding.

Leon



mmmmm "1 bit software driven DAC" - that'll kick the shite out of my 400MHz 
10 bit video DAC. 



Article: 135655
Subject: Re: Can i ask some DFT questions
From: vssumesh <vssumesh_asic@yahoo.com>
Date: Sat, 11 Oct 2008 10:46:06 -0700 (PDT)
Links: << >>  << T >>  << A >>
Hi Andy,
   Will test it and report by monday. Actually i was also planning for
it as a back up. The issue happend in the capture cycle where it was
expected to drive 'z'.
But Andy the orginal question remains is it possible to get the format
of wgl free of cost??
Also i know this is not the group i should use to ask a dft related
question. I could not find a good groups on dft which can be
comparable to comp.arch.fpga. Are you familiar with any??
Regards
Sumesh vS

Article: 135656
Subject: Re: XMOS XC-1 kits are shipping
From: Leon <leon355@btinternet.com>
Date: Sat, 11 Oct 2008 10:49:11 -0700 (PDT)
Links: << >>  << T >>  << A >>
On 11 Oct, 18:44, "Hamish Shufflebotham" <h.sh...@chufftypuffty.com>
wrote:
> "Leon" <leon...@btinternet.com> wrote in message
>
> news:3a7e63d4-23af-4199-8993-f4a8a4e2196b@m3g2000hsc.googlegroups.com...
> On 11 Oct, 18:01, Simon <goo...@gornall.net> wrote:
>
> I don't work for XMOS. I just like the devices, and believe people
> should know about them. Those are all forums I use a lot, and several
> people there have thanked me for bringing them to their attention, and
> are getting involved. I don't see what is wrong with that.
>
> A single XMOS device can often replace a DSP and an FPGA, which is one
> of the reasons I'm using it, and why I thought it might be of interest
> to the FPGA community.
>
> I am using them in a couple of applications, I have a vested interest
> in XMOS succeeding.
>
> Leon
>
> mmmmm "1 bit software driven DAC" - that'll kick the shite out of my 400MHz
> 10 bit video DAC.

That's just for outputting sound with minimum external hardware on a
low-cost dev kit. I'll be using a DACat RF  in one of my applications.

Leon

Article: 135657
Subject: Re: XMOS XC-1 kits are shipping
From: "Hamish Shufflebotham" <h.shuff@chufftypuffty.com>
Date: Sat, 11 Oct 2008 18:57:22 +0100
Links: << >>  << T >>  << A >>

"Leon" <leon355@btinternet.com> wrote in message 
news:e94e9fe1-8fe2-4b76-b8f5-c393a6511b7e@k13g2000hse.googlegroups.com...
> On 11 Oct, 18:44, "Hamish Shufflebotham" <h.sh...@chufftypuffty.com>
> wrote:
>> "Leon" <leon...@btinternet.com> wrote in message
>>
>> news:3a7e63d4-23af-4199-8993-f4a8a4e2196b@m3g2000hsc.googlegroups.com...
>> On 11 Oct, 18:01, Simon <goo...@gornall.net> wrote:
>>
>> I don't work for XMOS. I just like the devices, and believe people
>> should know about them. Those are all forums I use a lot, and several
>> people there have thanked me for bringing them to their attention, and
>> are getting involved. I don't see what is wrong with that.
>>
>> A single XMOS device can often replace a DSP and an FPGA, which is one
>> of the reasons I'm using it, and why I thought it might be of interest
>> to the FPGA community.
>>
>> I am using them in a couple of applications, I have a vested interest
>> in XMOS succeeding.
>>
>> Leon
>>
>> mmmmm "1 bit software driven DAC" - that'll kick the shite out of my 
>> 400MHz
>> 10 bit video DAC.
>
> That's just for outputting sound with minimum external hardware on a
> low-cost dev kit. I'll be using a DACat RF  in one of my applications.
>
> Leon

Really? - and which RF DAC is that? 



Article: 135658
Subject: Re: XMOS XC-1 kits are shipping
From: Leon <leon355@btinternet.com>
Date: Sat, 11 Oct 2008 11:24:25 -0700 (PDT)
Links: << >>  << T >>  << A >>
On 11 Oct, 18:57, "Hamish Shufflebotham" <h.sh...@chufftypuffty.com>
wrote:
> "Leon" <leon...@btinternet.com> wrote in message
>
> news:e94e9fe1-8fe2-4b76-b8f5-c393a6511b7e@k13g2000hse.googlegroups.com...
>
>
>
> > On 11 Oct, 18:44, "Hamish Shufflebotham" <h.sh...@chufftypuffty.com>
> > wrote:
> >> "Leon" <leon...@btinternet.com> wrote in message
>
> >>news:3a7e63d4-23af-4199-8993-f4a8a4e2196b@m3g2000hsc.googlegroups.com..=
.
> >> On 11 Oct, 18:01, Simon <goo...@gornall.net> wrote:
>
> >> I don't work for XMOS. I just like the devices, and believe people
> >> should know about them. Those are all forums I use a lot, and several
> >> people there have thanked me for bringing them to their attention, and
> >> are getting involved. I don't see what is wrong with that.
>
> >> A single XMOS device can often replace a DSP and an FPGA, which is one
> >> of the reasons I'm using it, and why I thought it might be of interest
> >> to the FPGA community.
>
> >> I am using them in a couple of applications, I have a vested interest
> >> in XMOS succeeding.
>
> >> Leon
>
> >> mmmmm "1 bit software driven DAC" - that'll kick the shite out of my
> >> 400MHz
> >> 10 bit video DAC.
>
> > That's just for outputting sound with minimum external hardware on a
> > low-cost dev kit. I'll be using a DACat RF =A0in one of my applications=
.
>
> > Leon
>
> Really? - and which RF DAC is that?

The MAX19692. That's overkill, really, and something slower will do.

Leon

Article: 135659
Subject: Re: XMOS XC-1 kits are shipping
From: "Hamish Shufflebotham" <h.shuff@chufftypuffty.com>
Date: Sat, 11 Oct 2008 19:28:15 +0100
Links: << >>  << T >>  << A >>

"Leon" <leon355@btinternet.com> wrote in message 
news:a0543b74-9f3f-4ed8-a90a-4cfc3dc73341@u65g2000hsc.googlegroups.com...
On 11 Oct, 18:57, "Hamish Shufflebotham" <h.sh...@chufftypuffty.com>
wrote:
> "Leon" <leon...@btinternet.com> wrote in message
>
> news:e94e9fe1-8fe2-4b76-b8f5-c393a6511b7e@k13g2000hse.googlegroups.com...
>
>
>
> > On 11 Oct, 18:44, "Hamish Shufflebotham" <h.sh...@chufftypuffty.com>
> > wrote:
> >> "Leon" <leon...@btinternet.com> wrote in message
>
> >>news:3a7e63d4-23af-4199-8993-f4a8a4e2196b@m3g2000hsc.googlegroups.com...
> >> On 11 Oct, 18:01, Simon <goo...@gornall.net> wrote:
>
> >> I don't work for XMOS. I just like the devices, and believe people
> >> should know about them. Those are all forums I use a lot, and several
> >> people there have thanked me for bringing them to their attention, and
> >> are getting involved. I don't see what is wrong with that.
>
> >> A single XMOS device can often replace a DSP and an FPGA, which is one
> >> of the reasons I'm using it, and why I thought it might be of interest
> >> to the FPGA community.
>
> >> I am using them in a couple of applications, I have a vested interest
> >> in XMOS succeeding.
>
> >> Leon
>
> >> mmmmm "1 bit software driven DAC" - that'll kick the shite out of my
> >> 400MHz
> >> 10 bit video DAC.
>
> > That's just for outputting sound with minimum external hardware on a
> > low-cost dev kit. I'll be using a DACat RF in one of my applications.
>
> > Leon
>
> Really? - and which RF DAC is that?

The MAX19692. That's overkill, really, and something slower will do.

Leon




Your not kidding! - the maximum IO toggle rate of the XS-1 is only 100MHz! 



Article: 135660
Subject: Re: Can i ask some DFT questions
From: Andy Botterill <andy@plymouth2.demon.co.uk>
Date: Sat, 11 Oct 2008 19:33:42 +0100
Links: << >>  << T >>  << A >>
vssumesh wrote:
> Hi Andy,
>    Will test it and report by monday. Actually i was also planning for
> it as a back up. The issue happend in the capture cycle where it was
> expected to drive 'z'.

It is common modelling problem that input Z's are converted into pulled 
values. This generally not good because the edge shape is slow and prone 
to noise issues.

> But Andy the orginal question remains is it possible to get the format
> of wgl free of cost??

Err no. I got the information some years ago. I've looked at a lot of 
WGL files. The LRM will not help you. The LRM allows signals and 
waveforms that are impossible on *any* ATE.

Check when bidirectionals need to be driven and stopped being driven. 
This will help your test engineer. Try to find out what your tester can 
and cannot do.

Start using the simulations that FASTSCAN writes out. If that is OK 
carry on.

Do not rely on pullups converting Z states into 0/1 states. Do not rely 
on pullups converting output Z's into L/H. The edges are poor and slow.

Use the simulator to check that the pattern is sound. Do not use the 
re-simulated  pattern to test devices. This gets more states than were 
in the original scan pattern. This will not work.

> Also i know this is not the group i should use to ask a dft related
> question. I could not find a good groups on dft which can be
> comparable to comp.arch.fpga. Are you familiar with any??
> Regards

Err I have a limited knowledge of FASTSCAN and TETRAMAX. My main job is 
fixing scan patterns and testing mixed signal devices.

I know of no places to discuss this online.

Try chatting with your colleagues or your mentor support person.


> Sumesh vS

Article: 135661
Subject: Re: XMOS XC-1 kits are shipping
From: Leon <leon355@btinternet.com>
Date: Sat, 11 Oct 2008 11:42:47 -0700 (PDT)
Links: << >>  << T >>  << A >>
On 11 Oct, 19:28, "Hamish Shufflebotham" <h.sh...@chufftypuffty.com>
wrote:
> "Leon" <leon...@btinternet.com> wrote in message
>
> news:a0543b74-9f3f-4ed8-a90a-4cfc3dc73341@u65g2000hsc.googlegroups.com...
> On 11 Oct, 18:57, "Hamish Shufflebotham" <h.sh...@chufftypuffty.com>
> wrote:
>
>
>
> > "Leon" <leon...@btinternet.com> wrote in message
>
> >news:e94e9fe1-8fe2-4b76-b8f5-c393a6511b7e@k13g2000hse.googlegroups.com...
>
> > > On 11 Oct, 18:44, "Hamish Shufflebotham" <h.sh...@chufftypuffty.com>
> > > wrote:
> > >> "Leon" <leon...@btinternet.com> wrote in message
>
> > >>news:3a7e63d4-23af-4199-8993-f4a8a4e2196b@m3g2000hsc.googlegroups.com...
> > >> On 11 Oct, 18:01, Simon <goo...@gornall.net> wrote:
>
> > >> I don't work for XMOS. I just like the devices, and believe people
> > >> should know about them. Those are all forums I use a lot, and several
> > >> people there have thanked me for bringing them to their attention, and
> > >> are getting involved. I don't see what is wrong with that.
>
> > >> A single XMOS device can often replace a DSP and an FPGA, which is one
> > >> of the reasons I'm using it, and why I thought it might be of interest
> > >> to the FPGA community.
>
> > >> I am using them in a couple of applications, I have a vested interest
> > >> in XMOS succeeding.
>
> > >> Leon
>
> > >> mmmmm "1 bit software driven DAC" - that'll kick the shite out of my
> > >> 400MHz
> > >> 10 bit video DAC.
>
> > > That's just for outputting sound with minimum external hardware on a
> > > low-cost dev kit. I'll be using a DACat RF in one of my applications.
>
> > > Leon
>
> > Really? - and which RF DAC is that?
>
> The MAX19692. That's overkill, really, and something slower will do.
>
> Leon
>
> Your not kidding! - the maximum IO toggle rate of the XS-1 is only 100MHz!

That was the first one I came to amongst my Maxim data sheets. I'll
probably use one of the 250 Msps chips.

I want to develop a software-defined-radio, with an equally fast ADC
feeding one of the cores for the Rx function, the DAC on another for
the Tx function, and the other two cores doing all the DSP work. XMOS
is quite interested in the idea, and is giving me some chip samples to
play with by the end of the month.

Leon

Article: 135662
Subject: Re: XMOS XC-1 kits are shipping
From: Joerg <notthisjoergsch@removethispacbell.net>
Date: Sat, 11 Oct 2008 12:25:54 -0700
Links: << >>  << T >>  << A >>
Leon wrote:
> On 10 Oct, 17:42, ste...@coppice.org wrote:
>> On Oct 10, 9:01 pm, Leon <leon...@btinternet.com> wrote:
>>
>>> I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>>> The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>>> I haven't been so excited about a new chip since the transputer came
>>> out. David May designed them both, of course.
>>> Leon
>>> leon...@btinternet.com
>> Is the comparison with the Transputer supposed to imply this is a half
>> thought out design with brain dead execution? :-\
>>
>> Steve
> 
> The transputer was ahead of its time, and really pushed the technology
> that was available. I sold a lot of systems using it, mostly to
> universities and research establishments, because there was nothing
> else around with that sort of performance then. Inmos even had their
> own fab!
> 

I met a guy from the transputer design team down in the Bryce Canyon 
(here in the US). Unfortunately that company seemed to have lacked 
marketing savvy just like Plessey and many others. Great products (well, 
most of them) but that doesn't get you anywhere unless you can nail the 
next step, the deal. It's probably similar to engineer-driven car 
manufacturers like Borgward. A seasoned car mechanic here in the US told 
me that these were among the most well designed and quality built cars 
ever. But ...

Of course I secured a small stash of Plessey SL6440 mixers before it all 
imploded.

-- 
Regards, Joerg

http://www.analogconsultants.com/

"gmail" domain blocked because of excessive spam.
Use another domain or send PM.

Article: 135663
Subject: Re: XMOS XC-1 kits are shipping
From: HardySpicer <gyansorova@gmail.com>
Date: Sat, 11 Oct 2008 12:33:56 -0700 (PDT)
Links: << >>  << T >>  << A >>
On Oct 11, 6:43=A0am, Leon <leon...@btinternet.com> wrote:
> On 10 Oct, 17:42, ste...@coppice.org wrote:
>
>
>
> > On Oct 10, 9:01=A0pm, Leon <leon...@btinternet.com> wrote:
>
> > > I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>
> > > The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>
> > > I haven't been so excited about a new chip since the transputer came
> > > out. David May designed them both, of course.
>
> > > Leon
> > > leon...@btinternet.com
>
> > Is the comparison with the Transputer supposed to imply this is a half
> > thought out design with brain dead execution? :-\
>
> > Steve
>
> The transputer was ahead of its time, and really pushed the technology
> that was available. I sold a lot of systems using it, mostly to
> universities and research establishments, because there was nothing
> else around with that sort of performance then. Inmos even had their
> own fab!
>
> Leon

Yes at the time it was way ahead. Trouble is that there was not many
people who had given thought to paralel computing back then - even now
it is experimental on the whole and not mainstream.
Another machine of the time was made by Linn Products who make Hi-Fi..

http://portal.acm.org/citation.cfm?doid=3D381711.381716


 It was a small-talk machine called Recusiv  - fully Object Orientated
- again way ahead of its time. People had just started using C and
were still using Fortran.
Assembler was the only low level language available.


Hardy

Article: 135664
Subject: Re: XMOS XC-1 kits are shipping
From: Leon <leon355@btinternet.com>
Date: Sat, 11 Oct 2008 12:34:30 -0700 (PDT)
Links: << >>  << T >>  << A >>
On 11 Oct, 20:25, Joerg <notthisjoerg...@removethispacbell.net> wrote:
> Leon wrote:
> > On 10 Oct, 17:42, ste...@coppice.org wrote:
> >> On Oct 10, 9:01 pm, Leon <leon...@btinternet.com> wrote:
>
> >>> I've just ordered my 1600 MIPS XMOS XC-1 design kit.
> >>> The XMOS chips will replace DSPs and FPGAs in a lot of applications.
> >>> I haven't been so excited about a new chip since the transputer came
> >>> out. David May designed them both, of course.
> >>> Leon
> >>> leon...@btinternet.com
> >> Is the comparison with the Transputer supposed to imply this is a half
> >> thought out design with brain dead execution? :-\
>
> >> Steve
>
> > The transputer was ahead of its time, and really pushed the technology
> > that was available. I sold a lot of systems using it, mostly to
> > universities and research establishments, because there was nothing
> > else around with that sort of performance then. Inmos even had their
> > own fab!
>
> I met a guy from the transputer design team down in the Bryce Canyon
> (here in the US). Unfortunately that company seemed to have lacked
> marketing savvy just like Plessey and many others. Great products (well,
> most of them) but that doesn't get you anywhere unless you can nail the
> next step, the deal. It's probably similar to engineer-driven car
> manufacturers like Borgward. A seasoned car mechanic here in the US told
> me that these were among the most well designed and quality built cars
> ever. But ...


After they married, my brother used his wife's Borgward Isabella. It
wasn't bad, apart from the slippery bench seat in the front. I didn't
close the door properly once, slid across the seat when he cornered
rather fast and nearly fell out of the door when it opened. Cars
didn't have seat belts in those days, of course.

Leon

Article: 135665
Subject: Re: XMOS XC-1 kits are shipping
From: Joerg <notthisjoergsch@removethispacbell.net>
Date: Sat, 11 Oct 2008 12:42:21 -0700
Links: << >>  << T >>  << A >>
Leon wrote:
> On 11 Oct, 20:25, Joerg <notthisjoerg...@removethispacbell.net> wrote:
>> Leon wrote:
>>> On 10 Oct, 17:42, ste...@coppice.org wrote:
>>>> On Oct 10, 9:01 pm, Leon <leon...@btinternet.com> wrote:
>>>>> I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>>>>> The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>>>>> I haven't been so excited about a new chip since the transputer came
>>>>> out. David May designed them both, of course.
>>>>> Leon
>>>>> leon...@btinternet.com
>>>> Is the comparison with the Transputer supposed to imply this is a half
>>>> thought out design with brain dead execution? :-\
>>>> Steve
>>> The transputer was ahead of its time, and really pushed the technology
>>> that was available. I sold a lot of systems using it, mostly to
>>> universities and research establishments, because there was nothing
>>> else around with that sort of performance then. Inmos even had their
>>> own fab!
>> I met a guy from the transputer design team down in the Bryce Canyon
>> (here in the US). Unfortunately that company seemed to have lacked
>> marketing savvy just like Plessey and many others. Great products (well,
>> most of them) but that doesn't get you anywhere unless you can nail the
>> next step, the deal. It's probably similar to engineer-driven car
>> manufacturers like Borgward. A seasoned car mechanic here in the US told
>> me that these were among the most well designed and quality built cars
>> ever. But ...
> 
> 
> After they married, my brother used his wife's Borgward Isabella. It
> wasn't bad, apart from the slippery bench seat in the front. ...


That had a purpose back then. Not exactly one that had to do with 
driving and it was only useful if you had a girlfriend in the car ;-)


>                                                      ... I didn't
> close the door properly once, slid across the seat when he cornered
> rather fast and nearly fell out of the door when it opened. Cars
> didn't have seat belts in those days, of course.
> 

On my pa's old Chrysler the whole frame would torque and warp a little 
at high speeds. At around 100mph (in Germany where that was legal) the 
driver side door made *BANG* and popped open one notch. Later it turned 
out some really clever engineer had positioned the battery right above a 
frame member. The occasional acid drips from there slowly ate it up.

-- 
Regards, Joerg

http://www.analogconsultants.com/

"gmail" domain blocked because of excessive spam.
Use another domain or send PM.

Article: 135666
Subject: Re: XMOS XC-1 kits are shipping
From: HardySpicer <gyansorova@gmail.com>
Date: Sat, 11 Oct 2008 12:53:27 -0700 (PDT)
Links: << >>  << T >>  << A >>
On Oct 11, 2:01=A0am, Leon <leon...@btinternet.com> wrote:
> I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>
> The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>
> I haven't been so excited about a new chip since the transputer came
> out. David May designed them both, of course.
>
> Leon
> leon...@btinternet.com

Looks interesting but doesn't look to be a number-crunching device.
It's general purpose for Ethernet type applications.


Hardy

Article: 135667
Subject: Re: XMOS XC-1 kits are shipping
From: Leon <leon355@btinternet.com>
Date: Sat, 11 Oct 2008 12:59:35 -0700 (PDT)
Links: << >>  << T >>  << A >>
On 11 Oct, 20:33, HardySpicer <gyansor...@gmail.com> wrote:
> On Oct 11, 6:43=A0am, Leon <leon...@btinternet.com> wrote:
>
>
>
> > On 10 Oct, 17:42, ste...@coppice.org wrote:
>
> > > On Oct 10, 9:01=A0pm, Leon <leon...@btinternet.com> wrote:
>
> > > > I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>
> > > > The XMOS chips will replace DSPs and FPGAs in a lot of applications=
.
>
> > > > I haven't been so excited about a new chip since the transputer cam=
e
> > > > out. David May designed them both, of course.
>
> > > > Leon
> > > > leon...@btinternet.com
>
> > > Is the comparison with the Transputer supposed to imply this is a hal=
f
> > > thought out design with brain dead execution? :-\
>
> > > Steve
>
> > The transputer was ahead of its time, and really pushed the technology
> > that was available. I sold a lot of systems using it, mostly to
> > universities and research establishments, because there was nothing
> > else around with that sort of performance then. Inmos even had their
> > own fab!
>
> > Leon
>
> Yes at the time it was way ahead. Trouble is that there was not many
> people who had given thought to paralel computing back then - even now
> it is experimental on the whole and not mainstream.
> Another machine of the time was made by Linn Products who make Hi-Fi..
>
> http://portal.acm.org/citation.cfm?doid=3D381711.381716
>
> =A0It was a small-talk machine called Recusiv =A0- fully Object Orientate=
d
> - again way ahead of its time. People had just started using C and
> were still using Fortran.
> Assembler was the only low level language available.
>
> Hardy

I remember the Rekursiv. I even asked them if they would sell me some
chips. They would only sell me one of their boards, which were rather
expensive.

Leon

Article: 135668
Subject: Re: XMOS XC-1 kits are shipping
From: Leon <leon355@btinternet.com>
Date: Sat, 11 Oct 2008 15:25:10 -0700 (PDT)
Links: << >>  << T >>  << A >>
On 11 Oct, 20:53, HardySpicer <gyansor...@gmail.com> wrote:
> On Oct 11, 2:01=A0am, Leon <leon...@btinternet.com> wrote:
>
> > I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>
> > The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>
> > I haven't been so excited about a new chip since the transputer came
> > out. David May designed them both, of course.
>
> > Leon
> > leon...@btinternet.com
>
> Looks interesting but doesn't look to be a number-crunching device.
> It's general purpose for Ethernet type applications.

Look at it as a very fast and cheap 32-bit scalable processor.

Leon


Article: 135669
Subject: Re: XMOS XC-1 kits are shipping
From: Randy Yates <yates@ieee.org>
Date: Sat, 11 Oct 2008 18:37:06 -0400
Links: << >>  << T >>  << A >>
Leon <leon355@btinternet.com> writes:

> On 11 Oct, 20:53, HardySpicer <gyansor...@gmail.com> wrote:
>> On Oct 11, 2:01 am, Leon <leon...@btinternet.com> wrote:
>>
>> > I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>>
>> > The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>>
>> > I haven't been so excited about a new chip since the transputer came
>> > out. David May designed them both, of course.
>>
>> > Leon
>> > leon...@btinternet.com
>>
>> Looks interesting but doesn't look to be a number-crunching device.
>> It's general purpose for Ethernet type applications.
>
> Look at it as a very fast and cheap 32-bit scalable processor.

I couldn't find a datasheet on the XMOS CPU. What's its architecture?
Does it have 16 bit datapaths? What size is the multiplier/ALU? Can
it do, e.g., 1600 32x32->80 fixed-point multiplies per second?
-- 
%  Randy Yates                  % "Rollin' and riding and slippin' and
%% Fuquay-Varina, NC            %  sliding, it's magic."
%%% 919-577-9882                %  
%%%% <yates@ieee.org>           % 'Living' Thing', *A New World Record*, ELO
http://www.digitalsignallabs.com

Article: 135670
Subject: Re: XMOS XC-1 kits are shipping
From: Leon <leon355@btinternet.com>
Date: Sat, 11 Oct 2008 15:49:47 -0700 (PDT)
Links: << >>  << T >>  << A >>
On 11 Oct, 23:37, Randy Yates <ya...@ieee.org> wrote:
> Leon <leon...@btinternet.com> writes:
> > On 11 Oct, 20:53, HardySpicer <gyansor...@gmail.com> wrote:
> >> On Oct 11, 2:01=A0am, Leon <leon...@btinternet.com> wrote:
>
> >> > I've just ordered my 1600 MIPS XMOS XC-1 design kit.
>
> >> > The XMOS chips will replace DSPs and FPGAs in a lot of applications.
>
> >> > I haven't been so excited about a new chip since the transputer came
> >> > out. David May designed them both, of course.
>
> >> > Leon
> >> > leon...@btinternet.com
>
> >> Looks interesting but doesn't look to be a number-crunching device.
> >> It's general purpose for Ethernet type applications.
>
> > Look at it as a very fast and cheap 32-bit scalable processor.
>
> I couldn't find a datasheet on the XMOS CPU. What's its architecture?
> Does it have 16 bit datapaths? What size is the multiplier/ALU? Can
> it do, e.g., 1600 32x32->80 fixed-point multiplies per second?
> --
> % =A0Randy Yates =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0% "Rollin' and riding=
 and slippin' and
> %% Fuquay-Varina, NC =A0 =A0 =A0 =A0 =A0 =A0% =A0sliding, it's magic."
> %%% 919-577-9882 =A0 =A0 =A0 =A0 =A0 =A0 =A0 =A0% =A0
> %%%% <ya...@ieee.org> =A0 =A0 =A0 =A0 =A0 % 'Living' Thing', *A New World=
 Record*, ELOhttp://www.digitalsignallabs.com

https://products.xmos.com/system/files/XS1-G4-PB-080723.pdf

https://products.xmos.com/system/files/XS1-G4-512BGA-DS-87.pdf

It's got a 32 x 32 bit -> 64-bit MAC

Leon



Article: 135671
Subject: Re: XMOS XC-1 kits are shipping
From: Brian Drummond <brian_drummond@btconnect.com>
Date: Sun, 12 Oct 2008 00:57:37 +0100
Links: << >>  << T >>  << A >>
On Sat, 11 Oct 2008 12:59:35 -0700 (PDT), Leon <leon355@btinternet.com>
wrote:

>On 11 Oct, 20:33, HardySpicer <gyansor...@gmail.com> wrote:
>> On Oct 11, 6:43 am, Leon <leon...@btinternet.com> wrote:

>> > The transputer was ahead of its time, and really pushed the technology
>> > that was available. 
...
>> Another machine of the time was made by Linn Products who make Hi-Fi..
>>
>> http://portal.acm.org/citation.cfm?doid=381711.381716
>>
>>  It was a small-talk machine called Recusiv  - fully Object Orientated
>> - again way ahead of its time. People had just started using C and
>> were still using Fortran.
>> Assembler was the only low level language available.
>>
>> Hardy
>
>I remember the Rekursiv. I even asked them if they would sell me some
>chips. They would only sell me one of their boards, which were rather
>expensive.

There were only ever about 30 chipsets (from LSI Logic); if one had been
sold individually it would have left a board empty.

In any case, a prototype board for two 299 pin PGAs (at the time, LSI
said, the largest in Europe) and a 223 pin would have been decidedly
non-trivial.

The price of £25k for a board was regrettable, but without a much larger
company behind it, there wasn't going to be any other option.

A second version would have been far more cost-effective but never made
it past the initial design stages.

- Brian


Article: 135672
Subject: Newbie attempt with ALU
From: "girl_aj" <bzerk512_ace@yahoo.com>
Date: Sat, 11 Oct 2008 20:24:44 -0500
Links: << >>  << T >>  << A >>
Hello!Good day!:) ... I am new to vhdl and I really need your help. Below
is a portion of my code. it had the error: "Line 66. result of operator =
is not static."...please tell me what this means...thank you!

library ieee;
use ieee.std_logic_1164.all;

entity ALU is
   port (A, B : in std_logic_vector(7 downto 0);
			 Cin : in std_logic;
			 sel : in std_logic_vector(3 downto 0);
		 Output : out std_logic_vector(7 downto 0));
end ALU;
architecture behavioral of ALU is
	component AndEightBit is
		port(B, A : in  std_logic_vector(7 downto 0);
				  S : out std_logic_vector(7 downto 0));
	end component;

Article: 135673
Subject: Re: How to synthesize a delay of around 10 ns in FPGA?
From: Peter Alfke <alfke@sbcglobal.net>
Date: Sat, 11 Oct 2008 18:59:09 -0700 (PDT)
Links: << >>  << T >>  << A >>
On Oct 10, 4:15=A0am, Thomas Heller <thel...@python.net> wrote:
> Peter Alfke schrieb:
>
>
>
> > On Oct 8, 7:35 am, Pratap <pratap.i...@gmail.com> wrote:
> >> Hi,
> >> I want to synthesize a delay of around 10 ns in Xilinx Virtex2 Pro. So
> >> I put around 200 inverters in series and get the desired delay. So I
> >> did port map the BASIC cell "INV" according to the XST settings.
> >> But when i place and route I guess the optimizer removes all the
> >> intermediate buffers and I get very less delay when I do a post route
> >> simulation.
> >> How can I get rid of this problem?
> >> Thanks in advance.
> >> -Pratap
>
> > Use the IDELAY in Xilinx Virtex-4 or later. It gives you max 5 ns
> > delay, but that delay is stable over temperature, voltage, and
> > processing, because it is referenced to a 200 MHz clock (I call that
> > servo-stabilized).
> > Peter Alfke, Xilinx
>
> Is IDELAY available in any spartan devices?
>
> Thanks,
> Thomas

Only Virtex-4 and newer Virtex families have the "servo-stabilized"
IDELAY that I mentioned. Whenever Spartan has an IDELAY feature, the
user must cope with (compensate for) the temperature and voltage
dependence. As they say: "You gets what you pays for..." Spartan does
everything possible to keep the chip area small, and thus the cost
low.
Peter Alfke

Article: 135674
Subject: Re: OTU2 implementation with Virtex 4
From: Allan Herriman <allanherriman@hotmail.com>
Date: 12 Oct 2008 02:11:55 GMT
Links: << >>  << T >>  << A >>
Ali <ali.arabi@gmail.com> wrote in
news:f1318d8d-8821-4ca1-8a00-284c26d35f7c@t42g2000hsg.googlegroups.com: 

> On Oct 6, 1:03 pm, Allan Herriman <allanherri...@hotmail.com> wrote:
>> Ali <ali.ar...@gmail.com> wrote in news:e3ebcf87-0420-443e-9f57-
>> 8318c1fd0...@y29g2000hsf.googlegroups.com:
>>
>> > I have a specific query regarding the usage of SelectIO/RocketIO
>> > SERDES features available in Vertex 4. I want to implement OTU2
>> > with Virtex 4 and I wonder if this possible that use RocketIO .What
>> > I have understood that these features are mainly applicable for
>> > high speed serial communication protocols like PCI express, SATA
>> > etc. They also have some special hardware features like 8B/10B
>> > encoding/decoding logic built into the device for specific high
>> > speed serial communication applications.
>> > In my application, I need to add FEC data to STM64 and generate
>> > G.709 OTU2 data format.
>>
>> None of the built-in features will help you with STM64 or OTU2.
>>  You'll have to roll your own framers, etc. using the FPGA fabric.
>>  This is not 
>  a
>> difficult task, since the functions are reasonably well specified.
>>
>> I would feel uncomfortable even thinking about trying to meet the
>> various jitter requirements at 10Gb/s using an on-board SERDES.  You
>> might be better off using an external SERDES made by AMCC,
>> PMC-Sierra, etc.  The 
> se
>> will typically have a 16 bit LVDS interface to your FPGA.  You still
>> ne 
> ed
>> to do your own framers, FEC, etc.
>>
>> Regards,
>> Allan
> 
> Dear Allan
> Many Thanks for your help. We have two solutions to implement OTU2
> frames. In first ASIC solution, we utilize AMCC S3092, S19203 and
> S3091. For complete assurance, simultaneously, we pursue implement
> OTU2 frame with FPGA, but the main concerns are finding suitable
> SERDES and overcoming jitter. Do you think that AMCC SERDES like S3092
> works properly with Virtex 4/5 or do you suggest examining another
> ASIC solution like   Broadcom BCM8512.

I know from experience that it is quite possible to make a fully 
compliant 10Gb/s SONET product using Xilinx FPGAs and AMCC S192xx SERDES 
parts.

For 10Gb/s products I've worked on, FPGAs were the better solution 
because other processing (for which no ASICs exist) was needed.  Since an 
FPGA was required for the extra processing, it made sense to do everthing 
in the FPGA and minimise the number of ASICs (to just the SERDES parts).  
The downside to this is that large FPGAs tend to be very expensive.

In addition to the FPGA and SERDES, you will also need a PLL to produce 
the transmit clock.  The architecture will depend on your clocking model 
(e.g. the OTU2 tx clock could possibly be locked to the OTU2 rx clock, 
the OC192 rx clock, an internal reference, or an external reference).


I'm not sure why you are dividing your development effort into two 
competing solutions, since (I assume) only one of the end products will 
survive and the other will be dropped.

Regards,
Allan



Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search