Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 107650

Article: 107650
Subject: Re: Virtex-4FX DCM autoshutdown failure, any suggestions
From: "Antti" <Antti.Lukats@xilant.com>
Date: 30 Aug 2006 14:08:20 -0700
Links: << >>  << T >>  << A >>
Austin Lesea schrieb:

> Antti,
>
> Just turn it off, you don't need it.
>
> Also in another tech answer, they say the macro requires greater than
> 200 ms reset pulse!
>
> From an tech answer (#21435):
>
> - If none of the DCMs in your design require the clock stop circuitry
> (i.e., the DCM source clocks will never stop), you can globally disable
> the logic insertion by setting the XIL_DCM_AUTOCALIBRATION_OFF
> environment variable.
>
> - You can also disable insertion on an individual basis by applying the
> DCM_AUTOCALIBRATION attribute to specific DCMs. Acceptable values are
> TRUE and FALSE, where TRUE (the default value) allows MAP to insert the
> clock stop circuitry, and FALSE disables the logic insertion. You can
> add this attribute to the HDL design source using the VHDL generic or
> Verilog defparam, or it can be entered as a synthesis attribute (check
> with your synthesis tool for the appropriate syntax).
>
> When applying this attribute in the UCF file, the syntax is as follows:
> INST DCM_INST DCM_AUTOCALIBRATION="FALSE";
>
> Austin
>

Thanks Austin,

I guess that is proper fix so will try. The issue was that the DCM are
in EDK and the EDK DCM doesnt have the AUTOCALIBRATE setting, those I
should figure out the not so easy to guess instance names and add them
the UCF, its no big deal now as the bottom of the problem is known.

Antti


Article: 107651
Subject: Re: Performance Appraisals
From: fpga_toys@yahoo.com
Date: 30 Aug 2006 14:12:02 -0700
Links: << >>  << T >>  << A >>

Eric Jacobsen wrote:
> Minister of Algorithms, Intel Corp.
> My opinions may not be Intel's opinions.
> http://www.ericjacobsen.org

ROTF ... keep the Faith Baby :)

Great title!


Article: 107652
Subject: Re: Questions
From: Andreas Ehliar <ehliar@lysator.liu.se>
Date: Wed, 30 Aug 2006 21:23:57 +0000 (UTC)
Links: << >>  << T >>  << A >>
On 2006-08-30, Austin Lesea <austin@xilinx.com> wrote:
> We (Xilinx) do not know how useful comp.arch.fpga really is.

As a user of comp.arch.fpga I (of course) find it very useful. But
one thing which is not immediately obvious is that comp.arch.fpga is
probably quite useful even to users who have never heard of Usenet.
This is because a user who searches for an FPGA related question on
google often find an archived message from comp.arch.fpga. (Even if
they don't specifically search on google groups.)

Regarding academic users: Academic users tend to become commercial
users when they graduate and start working.

/Andreas

Article: 107653
Subject: Re: Aurora implementation
From: Duane Clark <junkmail@junkmail.com>
Date: Wed, 30 Aug 2006 21:33:23 GMT
Links: << >>  << T >>  << A >>
vt2001cpe wrote:
> ...
> Here are my assumptions, please correct me if I am wrong:
> 
> 1. If my data throughput is less than the max throughput of the link,
> the Link Layer will add filler data during the idle periods that will
> be stripped out on the receiver side.
Yes.
> 2. The elastic buffers on the TX and RX sides are filled and emptied,
> respectively, by me.
Yes.
> 3. If data were continuously fed into the TX buffer, sent, and
> correctly received by the receiver, the RX buffer would not reach an
> empty state.
Well, of course, if you cannot empty the RX buffer faster than it is 
being filled, it will eventually overrun.

One other thing on the TX side; it will normally pause very briefly once 
every <mumble> number of clocks to insert an alignment sequence (the 
number is in the Aurora docs somewhere).

> 4. I do not have to send alignment characters after the channel has
> been successfully initialized.

You don't send alignment characters, unless you mean something specific 
to your data. The Aurora core handles the link alignment transparently 
for you.

Article: 107654
Subject: Re: Performance Appraisals
From: bill.sloman@ieee.org
Date: 30 Aug 2006 14:40:33 -0700
Links: << >>  << T >>  << A >>

martin griffith wrote:
> On Wed, 30 Aug 2006 20:40:26 GMT, in sci.electronics.design Vladimir
> Vassilevsky <antispam_bogus@hotmail.com> wrote:
>
> >
> >
> >Luhan wrote:
> >
> >
> >>
> >> Glowing perfomance reviews and stock options are what companies give
> >> valued employees instead of raises.
> >
> >Good point, Luhan. In the big company, there is a salary schedule: how
> >much is getting paid to a person in this position. There is actually no
> >way for them to give any raise.
> >
> >Also this is how the loafers from HR are making themselves look very useful.

> Then what is the alternative to HR, ( dont ask me, I havent had a
> "job" in almost 10 years), and I've never worked for a company that
> had a "modern" HR dept. In those days they where called " personnel"
> departments, much more human

I've worked for a couple of places that were deliberately kept too
small - below 250 employees - to support a personnel department. The
managers and their secretaries had to do the necessary scut-work. It
seemd to work out.

My experience of personnel departments, both as a job interviewer and
as a job interviewee, was that they ranged from the useless to the
obstructive.

Most of them thought that they had the right and duty to filter
candidates for engineering jobs, and they reliably let through totally
unsuitable candidates, and tried to block people whom we eventually
hired. None of them had the vaguest idea what a "good" engineering CV
looked like, but the "good" ones were at least aware of their
inadequacy.

-- 
Bill Sloman, Nijmegen


Article: 107655
Subject: Re: Performance Appraisals
From: Jim Thompson <To-Email-Use-The-Envelope-Icon@My-Web-Site.com>
Date: Wed, 30 Aug 2006 14:43:41 -0700
Links: << >>  << T >>  << A >>
On Wed, 30 Aug 2006 20:41:25 GMT, Joerg
<notthisjoergsch@removethispacbell.net> wrote:

>Hello Luhan,
>
>> 
>> Glowing perfomance reviews and stock options are what companies give
>> valued employees instead of raises.
>> 
>
>And stock options can be a darn good thing. It's the American way :-)

Stock options are what you get when a company is destined to fail ;-)

Now-a-days, when someone walks in the door and offers me a percentage,
I SHOW them the door ;-)

                                        ...Jim Thompson
-- 
|  James E.Thompson, P.E.                           |    mens     |
|  Analog Innovations, Inc.                         |     et      |
|  Analog/Mixed-Signal ASIC's and Discrete Systems  |    manus    |
|  Phoenix, Arizona            Voice:(480)460-2350  |             |
|  E-mail Address at Website     Fax:(480)460-2142  |  Brass Rat  |
|       http://www.analog-innovations.com           |    1962     |
             
I love to cook with wine.      Sometimes I even put it in the food.

Article: 107656
Subject: Re: Performance Appraisals
From: Jim Thompson <To-Email-Use-The-Envelope-Icon@My-Web-Site.com>
Date: Wed, 30 Aug 2006 14:52:13 -0700
Links: << >>  << T >>  << A >>
On Wed, 30 Aug 2006 13:59:17 -0700, Eric Jacobsen
<eric.jacobsen@ieee.org> wrote:

>On Wed, 30 Aug 2006 20:41:25 GMT, Joerg
><notthisjoergsch@removethispacbell.net> wrote:
>
>>Hello Luhan,
>>
>>> 
>>> Glowing perfomance reviews and stock options are what companies give
>>> valued employees instead of raises.
>>> 
>>
>>And stock options can be a darn good thing. It's the American way :-)
>
>Can be, but often aren't.   I've seen the existence of stock options
>used as an excuse for keeping salaries low ("You'll get rich on the
>options!").   All of my Intel options (seven years worth) are
>underwater, most by a large enough margin that's there's little hope
>that they'll be worth anything before they expire.
>
>The story was similar at my previous company, and it was always
>amusing that every time options were granted the stock price went down
>a notch.   So the options were never worth anything and had an effect
>sort of the opposite of incentive (i.e., demoralizing).   Once every
>few years they'd restructure all the worthless options in order to get
>some incentive back, and the stock would just drop further to negate
>the new structure.   It was comical once you got past the sadness.  ;)
>
>So I've got about sixteen years of "stock option incentives" that have
>essentially cost me money.  :(
>
>
>Eric Jacobsen
>Minister of Algorithms, Intel Corp.
>My opinions may not be Intel's opinions.
>http://www.ericjacobsen.org

Yep.  Once upon a time I had a bundle of options should I join Mattel
Toy Co.

Went up like a rocket until they were exercisable, then fell to
worthless :-(

                                        ...Jim Thompson
-- 
|  James E.Thompson, P.E.                           |    mens     |
|  Analog Innovations, Inc.                         |     et      |
|  Analog/Mixed-Signal ASIC's and Discrete Systems  |    manus    |
|  Phoenix, Arizona            Voice:(480)460-2350  |             |
|  E-mail Address at Website     Fax:(480)460-2142  |  Brass Rat  |
|       http://www.analog-innovations.com           |    1962     |
             
I love to cook with wine.      Sometimes I even put it in the food.

Article: 107657
Subject: Re: Performance Appraisals
From: Joerg <notthisjoergsch@removethispacbell.net>
Date: Wed, 30 Aug 2006 22:00:07 GMT
Links: << >>  << T >>  << A >>
Hello Eric,

>>
>>>Glowing perfomance reviews and stock options are what companies give
>>>valued employees instead of raises.
>>
>>And stock options can be a darn good thing. It's the American way :-)
> 
> Can be, but often aren't.   I've seen the existence of stock options
> used as an excuse for keeping salaries low ("You'll get rich on the
> options!").   All of my Intel options (seven years worth) are
> underwater, most by a large enough margin that's there's little hope
> that they'll be worth anything before they expire.
> 

I meant small companies where you as an employee can see what's going on 
and can actually have an impact. That has paid off well for me. Then I 
invested a little in Intel. Big mistake, because they began making lots 
of mistakes after that IMHO. A mono-culture isn't going to work, wether 
that's in ag or in electronics yet they seem to be going there. Oh well, 
so we are in the same boat. My stocks are under water as much as your 
options :-(

Sometimes I dream I could be at the helm at a company like Intel or 
Infineon, just for a year, and turn a few things around. Intel had some 
dynamite products like programmable logic etc. Most of the time just 
when it began to work they ditched it.


> The story was similar at my previous company, and it was always
> amusing that every time options were granted the stock price went down
> a notch. ...


Probably via dilution. Maybe they overdid it a wee bit.


> ...  So the options were never worth anything and had an effect
> sort of the opposite of incentive (i.e., demoralizing).   Once every
> few years they'd restructure all the worthless options in order to get
> some incentive back, and the stock would just drop further to negate
> the new structure.   It was comical once you got past the sadness.  ;)
> 

That would be the time to start looking for "other ventures". Either the 
products are dull or management is.

-- 
Regards, Joerg

http://www.analogconsultants.com

Article: 107658
Subject: Re: Performance Appraisals
From: Joerg <notthisjoergsch@removethispacbell.net>
Date: Wed, 30 Aug 2006 22:10:15 GMT
Links: << >>  << T >>  << A >>
Hello Jim,

>>
>>>Glowing perfomance reviews and stock options are what companies give
>>>valued employees instead of raises.
>>
>>And stock options can be a darn good thing. It's the American way :-)
> 
> Stock options are what you get when a company is destined to fail ;-)
> 

That wasn't the case with the companies where I had options. Not at all. 
Not one.


> Now-a-days, when someone walks in the door and offers me a percentage,
> I SHOW them the door ;-)
> 

I would look at it very carefully. If it's "the" idea they are trying to 
bring to the marketplace and the IP is securely locked in I might be game.

I do not strive to be floating in money like uncle Scrooge because 
that's not all life's about. And if I ever did become Warren Buffet I'd 
probably do the same with it as he did. But, a few examples of what can 
happen with stock options live down at our airpark. Nowadays their main 
concerns center around which new jet to buy ;-)

-- 
Regards, Joerg

http://www.analogconsultants.com

Article: 107659
Subject: pull-ups for Spartan3
From: "Marco" <marco@marylon.com>
Date: 30 Aug 2006 15:17:38 -0700
Links: << >>  << T >>  << A >>
Hi,

for my Spartan3, being the internal pull-ups only good for non
connected pins, which external pull-ups should I place on my output
pins (that go into output buffer, opto and bjt before reaching the
output pin of the board), 10kOhm, 4.7kOhm?

Thanks,
Marco


Article: 107660
Subject: ISE licensing
From: "Roger" <enquiries@rwconcepts.co.uk>
Date: Wed, 30 Aug 2006 23:20:47 +0100
Links: << >>  << T >>  << A >>
Is it possible to revert to a Webpack license once the full 60 day 
evaluation license has expired on ISE? If so how is it best done? There's 
nothing obvious in ISE so is it a question of re-installing to get the 
licensing screen back to allow the Webpack code to be inserted?

Thanks Rog. 



Article: 107661
Subject: Re: Questions
From: Austin Lesea <austin@xilinx.com>
Date: Wed, 30 Aug 2006 15:38:24 -0700
Links: << >>  << T >>  << A >>
Andreas,

Understood.  Good points.  That is also why Xilinx has a very active and
committed University Program,

Austin

Andreas Ehliar wrote:
> On 2006-08-30, Austin Lesea <austin@xilinx.com> wrote:
>> We (Xilinx) do not know how useful comp.arch.fpga really is.
> 
> As a user of comp.arch.fpga I (of course) find it very useful. But
> one thing which is not immediately obvious is that comp.arch.fpga is
> probably quite useful even to users who have never heard of Usenet.
> This is because a user who searches for an FPGA related question on
> google often find an archived message from comp.arch.fpga. (Even if
> they don't specifically search on google groups.)
> 
> Regarding academic users: Academic users tend to become commercial
> users when they graduate and start working.
> 
> /Andreas

Article: 107662
Subject: Re: Performance Appraisals
From: Joerg <notthisjoergsch@removethispacbell.net>
Date: Wed, 30 Aug 2006 22:46:40 GMT
Links: << >>  << T >>  << A >>
Hello Bill,

>>>
>>>>Glowing perfomance reviews and stock options are what companies give
>>>>valued employees instead of raises.
>>>
>>>Good point, Luhan. In the big company, there is a salary schedule: how
>>>much is getting paid to a person in this position. There is actually no
>>>way for them to give any raise.
>>>
>>>Also this is how the loafers from HR are making themselves look very useful.
> 
>>Then what is the alternative to HR, ( dont ask me, I havent had a
>>"job" in almost 10 years), and I've never worked for a company that
>>had a "modern" HR dept. In those days they where called " personnel"
>>departments, much more human
> 
> I've worked for a couple of places that were deliberately kept too
> small - below 250 employees - to support a personnel department. The
> managers and their secretaries had to do the necessary scut-work. It
> seemd to work out.
> 
> My experience of personnel departments, both as a job interviewer and
> as a job interviewee, was that they ranged from the useless to the
> obstructive.
> 

That sounds a bit unfair. The HR folks I have worked with let me take 
over whatever I wanted in the hiring process. Resume scanning, phone 
calls, whatever. They did the jobs I didn't want or could not do such as 
background checks etc. And yeah, they even let me hire numerous 
outsiders in an industry where that is frowned upon. Because I really 
wanted to.

Where HR is a huge help is in most crisis situations. I mean, what are 
you going to do when someone has a miscarriage right there at the 
company? Or what do you do when someone has a hardcore alcohol problem? 
Or when there is an alleged harrassment case? Luckily I had a smooth 
sail most of the time but it sure felt good they were there when the 
need arose.


> Most of them thought that they had the right and duty to filter
> candidates for engineering jobs, and they reliably let through totally
> unsuitable candidates, and tried to block people whom we eventually
> hired. ...


Not in my life.


> None of them had the vaguest idea what a "good" engineering CV
> looked like, but the "good" ones were at least aware of their
> inadequacy.
>

Sure they didn't understand. How could they? That's why HR brought the 
whole stack to my office, less the ones with gross typos in there which 
they knew I wouldn't consider anyway.

-- 
Regards, Joerg

http://www.analogconsultants.com

Article: 107663
Subject: Re: I2C on Xilinx Virtex-4/ML403
From: "Suzie" <eckardts@saic.com>
Date: 30 Aug 2006 16:03:21 -0700
Links: << >>  << T >>  << A >>

Peter Ryser wrote:
> Suzie,
>
> you might want to try replacing R70 and R71 on the board with 1K
> resistors (currently 10K). While we are still looking into this issue it
> looks like the pull-up resistors are too weak resulting in a long rise
> time of SCL (and SDA).
>
> - Peter
>
>
> Suzie wrote:
> > I'm developing on an ML403 evaluation board with a Virtex-4 device.
> > I'm calling Xilinx's Level 0 I2C driver routines (XIic_Send, _Recv)
> > from a PPC405 program running under the QNX OS.  I'm connecting to an
> > external I2C device, a temp sensor/ADC, via the J3 header on the ML403.
> >
> > When scoping the I2C SDA and SCL lines, I often notice a missing bit
> > within the 8-bit address word.  Obviously, when this happens, the
> > addressed device does not ACK the transfer.
> >
> > I believe that my physical I2C connection is correct because I can
> > successfully and consistently use the GPIO-I2C bit-banging approach (as
> > implemented in Xilinx's iic_eeprom test program) to communicate with my
> > external device.
> >
> > I'm not sure how my operating environment or the driver could cause
> > this problem.  The address is supplied by a single byte-write to the
> > OPB_IIC core's Tx FIFO register; that seems atomic to me.  My gut
> > feeling is that there is a problem with the core.
> >
> > Anyone seen this problem, or know what I might be doing wrong??
> >

In limited testing following replacement of the R70 and R71 resistors,
per Peter's recommendation, I have not seen the "missing bit" problem -
nor other previously-seen problems with SDA or SCL being held low.


Article: 107664
Subject: virtex xcv:no way to see TDO moving:
From: "blisca" <blisca@tiscali.it>
Date: Thu, 31 Aug 2006 00:43:13 +0100
Links: << >>  << T >>  << A >>
hi to all the ng

for practicing vhdl at home 3 months ago i builded a modified cable 3 and i
tried to make my pc communicating with various xilinx ic's;

with cpld no problems,the cable  reads and programs correctly;

but there is  no way to communicate with xcv200 ,today i had the same with
an xcv150,TDO looks always low.

meanwhile last time i wrote in this ng for help and today ,i bought a demo
board and a cheap cable by Digilent,

with the Digilent cable(said able to work down to 1.8 V) my pc works fine
with the spartan 3 on the demo board

but i am still having no result when i try to comunicate with the virtex
fpgas

in this last attempt with xcv150  (PQ240,not easy to play and solder on it's
pin)the fpga is mounted on a scraped board,there is a linear voltage
regulator stage..i enter in it with 3.3 V

and it gives to the fpga  core the 1.8 v needed

i set M0 M1 M2 at 101 to disable other modes than boundary scan(but boundary
scan should be always active,in every MODE(correct?)),i cutted the tracks of
the jtag so to have no conflicts

i verified that the supplies are correctly applied

PROGRAMS appears always high

anyway during boundary scan check i only see TMS and TDI high and a signal
on TCK,TDO is always low  :-(

any hint will be appreciated
thanks to you all
Diego
Italy






Article: 107665
Subject: Re: Question on Virtex-4 CLB
From: "Eric Crabill" <eric.crabill@xilinx.com>
Date: Wed, 30 Aug 2006 17:15:01 -0700
Links: << >>  << T >>  << A >>
> So what do you do with the routing resources at the perimeter of the
array?

You could either leave them hanging/floating or direct them back into the
array.  A routing U-turn, so to speak.

> There seems to be a V shape connection between pairs that can be seen by
> double clicking inside the skinny box

That connection is the "U-turn".

Eric



Article: 107666
Subject: Re: MGT Power supply
From: "Daniel S." <digitalmastrmind_no_spam@hotmail.com>
Date: Wed, 30 Aug 2006 20:18:30 -0400
Links: << >>  << T >>  << A >>
Hi,

Switching power supplies introduce ripple voltage on the voltage rails 
they are regulating, requiring multiple LC stages for filtering which 
further slows down the regulator's response time.

Linear regulators reject this ripple (act like an active filter) but 
they have finite tracking bandwidth which limits their usefulness beyond 
100kHz. Linears are a good choice when the front-end switcher operates 
at lowish frequencies (<25kHz) where the linear regulator still offers 
at least 40dB supply ripple rejection - some LC filtering on the LDO's 
input is still necessary to remove high-frequency harmonics the LDO 
cannot handle.

Passive low-pass filters become increasingly impractical as frequencies 
go down while active filters (like linears) become increasingly 
effective. Because of this, precision voltage regulation is nearly 
impossible to achieve with switching regulators but it is nearly trivial 
and fairly inexpensive with linears. This is unlikely to change any time 
soon, if ever.

Switchers are good for noise-tolerant high-power circuits but linears 
will remain necessary for low-noise low-power stuff like reference voltages.

As for the actual topic of linear being necessary for MGTs, on top of 
inherent switcher supply noise, there will be multi-tone noise from 
switching inputs on input rails and heaps of other potentially nasty 
stuff across the whole spectrum. Given the price of V2P and V4FX parts, 
I would opt for not taking any chances and go with power -> LC -> LDO -> 
C -> MGT... and read Xilinx's MGT power decoupling appnote a few times.


Symon wrote:
> Hi Heiner,
> I'd be interested in the response you get for this question. As linear
> regulators have a bandwidth of a 100kHz or so, I fail to see how they
> provide an advantage over a filtered switcher.

-- 
Daniel Sauvageau
moc.xortam@egavuasd
Matrox Graphics Inc.
1155 St-Regis, Dorval, Qc, Canada
514-822-6000

Article: 107667
Subject: Re: FFT on an FPGA
From: Ray Andraka <ray@andraka.com>
Date: Wed, 30 Aug 2006 20:33:59 -0400
Links: << >>  << T >>  << A >>
Ray Andraka wrote:

> 
> I tried to allude to that fact, but reading over my post I see I missed 
> the point.  Our floating point FFT takes advantage of this fact.  It 
> uses fixed a small fixed (4/8/16 point) point kernel and normalizes the 
> input set to a common exponent before passing it through the kernel,and 
> then denormalizes the intermediate result before storing it. In essence 
> it has a coarser granularity of floating point operators. Accuracy 
> matches a full floating point implementation but the implementation uses 
> a fraction of the hardware of a full floating point implementation.

I'll be presenting a poster session paper on this at HPEC 2006 next 
month.  I'll post the foils on my website after the conference.

Article: 107668
Subject: Re: Performance Appraisals
From: fpga_toys@yahoo.com
Date: 30 Aug 2006 18:24:28 -0700
Links: << >>  << T >>  << A >>

bill.sloman@ieee.org wrote:
> > >Luhan wrote:
> > >Also this is how the loafers from HR are making themselves look very useful.
> My experience of personnel departments, both as a job interviewer and
> as a job interviewee, was that they ranged from the useless to the
> obstructive.

Like anything else, when you have idiots, lay them off.

That said, I've found HR when done right to be the most useful dept in
the org as a hiring manager. The second startup I did, we went from 5
people tap dancing a business plan, to 900 shipping workstations
35,000+ per year in 18 months. Most of us early management interviewed
dozens of people per month to grow the company that fast, from the top
down. The HR people I've worked with we specifically hired to be
technology aware, and could screen applicants just as good as the
hiring managers.

I when we made a mistake hiring a black single mom with a 4.0 from
Stanford that had zero work ethic, guided us right thru the layoff
process without a law suit.


Article: 107669
Subject: Re: ISE licensing
From: "Tommy Thorn" <tommy.thorn@gmail.com>
Date: 30 Aug 2006 18:33:42 -0700
Links: << >>  << T >>  << A >>
Roger wrote:
> Is it possible to revert to a Webpack license once the full 60 day
> evaluation license has expired on ISE? If so how is it best done? There's
> nothing obvious in ISE so is it a question of re-installing to get the
> licensing screen back to allow the Webpack code to be inserted?

Yes (AFAIK, this will require a reinstallation).  Be sure to note that
WebPACK supports only a subset of the features of the full ISE. If you
need fx. to program Virtex 5 devices, then WebPACK won't do you any
good.

Tommy


Article: 107670
Subject: Re: Performance Appraisals
From: bill.sloman@ieee.org
Date: 30 Aug 2006 18:43:57 -0700
Links: << >>  << T >>  << A >>

Joerg wrote:
> Hello Bill,
>
> >>>
> >>>>Glowing perfomance reviews and stock options are what companies give
> >>>>valued employees instead of raises.
> >>>
> >>>Good point, Luhan. In the big company, there is a salary schedule: how
> >>>much is getting paid to a person in this position. There is actually no
> >>>way for them to give any raise.
> >>>
> >>>Also this is how the loafers from HR are making themselves look very useful.
> >
> >>Then what is the alternative to HR, ( dont ask me, I havent had a
> >>"job" in almost 10 years), and I've never worked for a company that
> >>had a "modern" HR dept. In those days they where called " personnel"
> >>departments, much more human
> >
> > I've worked for a couple of places that were deliberately kept too
> > small - below 250 employees - to support a personnel department. The
> > managers and their secretaries had to do the necessary scut-work. It
> > seemd to work out.
> >
> > My experience of personnel departments, both as a job interviewer and
> > as a job interviewee, was that they ranged from the useless to the
> > obstructive.
> >
>
> That sounds a bit unfair.

It was certainly true for the sections of British and Australian
industry where I've worked.

It isn't true of Haffmans BV, which the only company I've worked for in
the Netherlands, but that was a very interesting company in a lot of
ways, and didn't have anything that looked remotely like a personnel
department. I've certainly had some very strange interactions with the
Philips and ASML personnel/sub-human relations departments.

> The HR folks I have worked with let me take
> over whatever I wanted in the hiring process.

Sounds like paradise.

> Resume scanning, phone
> calls, whatever. They did the jobs I didn't want or could not do such as
> background checks etc. And yeah, they even let me hire numerous
> outsiders in an industry where that is frowned upon. Because I really
> wanted to.

Once you've got onto somebody good they usually can't stop you - though
some idiot in personnel in Philips decided that I was too old to learn
a slightly new trick (TV bandwidth optical receiver development) when
all the engineers understood that I''d done closely related stuff for
other applications, and managed to block me from being hired, back in
2000.

In the U.K. they just threw away CV's that they didn't like - at
Cambridge Instruments this included the CV of brilliant Chineses
engineer, whom we only found out about because his wife played
badminton with the wife of one of our engineers, which got his CV into
the hands of the engineering manager, who hired him immediately. The
Chinese guy did great things for Cambridge Instruments for some three
years before he got head-hunted away to California.

> Where HR is a huge help is in most crisis situations. I mean, what are
> you going to do when someone has a miscarriage right there at the
> company? Or what do you do when someone has a hardcore alcohol problem?
> Or when there is an alleged harrassment case? Luckily I had a smooth
> sail most of the time but it sure felt good they were there when the
> need arose.

In the U.K. their reaction to crisis situations was to minimise their
employer's exposure to any possible claim. The thought that an
experieince employee had value for the company didn't seem to enter
their heads.

> > Most of them thought that they had the right and duty to filter
> > candidates for engineering jobs, and they reliably let through totally
> > unsuitable candidates, and tried to block people whom we eventually
> > hired. ...
>
>
> Not in my life.

You've been lucky.
>
>
> > None of them had the vaguest idea what a "good" engineering CV
> > looked like, but the "good" ones were at least aware of their
> > inadequacy.
> >
>
> Sure they didn't understand. How could they? That's why HR brought the
> whole stack to my office, less the ones with gross typos in there which
> they knew I wouldn't consider anyway.

And how do you know that?

-- 
Bill Sloman, Nijmegen


Article: 107671
Subject: Re: Undergrad project-8051 specifications??
From: "Nevo" <nevo_n@hotmail.com>
Date: Thu, 31 Aug 2006 02:02:01 GMT
Links: << >>  << T >>  << A >>
I want to be invited to the class session where the group demonstrates the 
electronic flush toilet to the professor!


"Tim Wescott" <tim@seemywebsite.com> wrote in message 
news:DsadnUb587on6WnZnZ2dnUVZ_q2dnZ2d@web-ster.com...
> neha.karanjkar@gmail.com wrote:
>
>> Hi all.
>> I'm an undergrad student doing a year long project on designing an 8051
>> variant for FPGA.
>>  We're required to decide upon  the specifications, by targeting any
>> particular application.
>> I'd be really thankful for any suggestions for the applications....
>>   Could someone guide me to sites that offer a comparison, &
>> applications of available 8051 cores?
>>
>> thanx in advance
>>
> Just about anything that you can think of that might have a small 
> microprocessor in it has been built with an 8051.
>
> * Clocks
> * Electronic flush toilets
> * Room thermostats
> * Temperature controllers for processing illegal drugs
> * Temperature controllers for processing legal drugs
> * Temperature controllers for labs determining whether mysterious
>   white powders are illegal drugs
> * Microwave controllers
> * Photosynthesis activity meters (I maintained one of those)
> * Leaf area meters (ditto)
> * Motion controllers
> * Burglar alarm systems
> * Video games
> * etc.
> * etc.
> * etc.
>
> -- 
>
> Tim Wescott
> Wescott Design Services
> http://www.wescottdesign.com
>
> Posting from Google?  See http://cfaj.freeshell.org/google/
>
> "Applied Control Theory for Embedded Systems" came out in April.
> See details at http://www.wescottdesign.com/actfes/actfes.html 



Article: 107672
Subject: Spartan 3 PCI-X 133Mhz
From: "yy" <yy7d6@yahoo.com.ph>
Date: 30 Aug 2006 19:02:58 -0700
Links: << >>  << T >>  << A >>
Hi has anyone have tried to implement a PCI-X 133Mhz core in a Xilinx
Spartan 3 FPGA?


Article: 107673
Subject: Re: behavioral vs post-P&R simulation mismatch
From: "KJ" <kkjennings@sbcglobal.net>
Date: Thu, 31 Aug 2006 02:06:29 GMT
Links: << >>  << T >>  << A >>

"tullio" <tullio.grassi@gmail.com> wrote in message 
news:1156946690.228935.57540@b28g2000cwb.googlegroups.com...
>I found the problem, it was actually in the signed logic.
<snip>
> PS: Xilinx should give a bonus to users for finding their bugs...
> Plus I could not find good guidlines on how XST interpret signed logic.

Opening a service request to Xilinx would be a good first step.  Even now 
that you 'know' the solution, Xilinx doesn't know about it until you let 
them know through some mechanism.

KJ 



Article: 107674
Subject: Re: Spartan 3 PCI-X 133Mhz
From: John_H <johnhandwork@mail.com>
Date: Thu, 31 Aug 2006 03:27:16 GMT
Links: << >>  << T >>  << A >>
yy wrote:
> Hi has anyone have tried to implement a PCI-X 133Mhz core in a Xilinx
> Spartan 3 FPGA?

When I *was* ready to go there (we ended up using PCI, not PCI-X) I was 
getting 100 MHz numbers easy in the S3 part and getting to 133 MHz would 
require more tweaking than Xilinx was prepared to jump in for.  There 
were attempts within Xilinx to get one of the right people tasked with 
it but the reduced requirements for our project let them not resurrect 
all the old files for the "right" tweaks.

The design can get there, I was pretty convinced.  It's just a tough 
journey.  Try 100 Mhz in Spartan-3!



Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search