Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 100800

Article: 100800
Subject: Re: FPGA + MAC board?
From: "damc4" <damc4@gmx.de>
Date: 18 Apr 2006 08:47:14 -0700
Links: << >>  << T >>  << A >>

pbdelete@spamnuke.ludd.luthdelete.se.invalid schrieb:

> Is there any lowpriced board with _just_ a FPGA + ethernet 100 Mbps interface?

Look at www.devboards.de, there are two boards available DBC1C12 and
DBC2C20.

Regards,

damc


Article: 100801
Subject: blowfish encryption algorithm
From: "chinmayshah.edi@googlemail.com" <chinmayshah.edi@googlemail.com>
Date: 18 Apr 2006 08:48:04 -0700
Links: << >>  << T >>  << A >>
i m trying to implement blowfish encyption algorithm on spartan 3
board. so can any one  tell me where i can find some help for coding
for it.


Article: 100802
Subject: Re: Wasn't the S3E board cost 149$@Xilinx , it's 178$@Avnet !!!!
From: "John_H" <johnhandwork@mail.com>
Date: Tue, 18 Apr 2006 16:01:16 GMT
Links: << >>  << T >>  << A >>
The big URL doesn't work here at work but the tinyurl appears to work fine.


"John_H" <johnhandwork@mail.com> wrote in message 
news:QG51g.1$sh.0@trnddc07...
> Carsten wrote:
>> On Mon, 17 Apr 2006 20:44:20 GMT, "John_H" <johnhandwork@mail.com>
>> wrote:
>>
>>
>>>It may be $178 from European distribution; the www.em.avnet.com site 
>>>shows $149.  I may have a cookie set that says I'm a US locale.  My S3E 
>>>kits (ordered a while ago) had a few dollars shipping - not much 
>>>considering the "usual" charges.
>>
>>
>> If you "Hit Order" , it will list $178 for qty = 1 , and $149 for qty >= 
>> 100
>
> Try:
>
> https://www.em.avnet.com/pns/home/0,5533,CID%253D0%2526CCD%253DUSA%2526SID%253D0%2526DID%253DDF2%2526LID%253D0%2526BID%253DDF2%2526CTP%253DPNS,00.html?ref=https://emwcs.avnet.com/webapp/wcs/stores/RedirectWCSLogon?langId=-1&storeId=500201&catalogId=500201&reLogon=https://www.em.avnet.com/auth/framelogin/&URL=RemoteAdvancedSearchView%3FlangId%3D%2D1%26storeId%3D500201%26catalogId%3D500201%26manufacturerPartNum%3DHW-SPAR3E-SK-US
>
> or (the same thing)
>
> http://tinyurl.com/qrr2g 



Article: 100803
Subject: Re: Spartan 3 chips in power up
From: "John_H" <johnhandwork@mail.com>
Date: Tue, 18 Apr 2006 16:07:09 GMT
Links: << >>  << T >>  << A >>
The Spartan3Es are back to the pre-Spartan3 weak pullups.  The values are 
superbly easy to find in the DC and Switching Characteristics data sheet.

"Jeff Brower" <jbrower@signalogic.com> wrote in message 
news:1145371209.200193.248270@z34g2000cwc.googlegroups.com...
> Steve-
>
> Thanks very much for the detailed explanation.  I did not realize S3
> has that much variation in pull-up/pull-down values, and the min values
> could be under 2k.  That does explain some of the things we've seen.
> We had got this idea in our heads of "weak pull-ups" from our Spartan
> II boards...
>
> I wish S3 Rs were a uniform 10k or so, but it sounds like it's not easy
> as the process continues to shrink.  Is this what we can expect on
> newer devices also?  It seems if we used a lot of internal FPGA
> pull-ups/downs instead of external ones we could significantly increase
> power consumption and heat of the device.
>
> -Jeff
> 



Article: 100804
Subject: Re: blowfish encryption algorithm
From: ghelbig@lycos.com
Date: 18 Apr 2006 09:24:18 -0700
Links: << >>  << T >>  << A >>

chinmayshah.edi@googlemail.com wrote:
> i m trying to implement blowfish encyption algorithm on spartan 3
> board. so can any one  tell me where i can find some help for coding
> for it.

Have you tried a google search yet?  I googled "blowfish FPGA" and got
15K hits.


Article: 100805
Subject: Re: PLD610
From: Stephen Williams <spamtrap@icarus.com>
Date: Tue, 18 Apr 2006 09:29:36 -0700
Links: << >>  << T >>  << A >>
radarman wrote:

> Believe me, I'm working with an old design at work right now that uses
> 3 Xilinx 4010E's and a UV eraseable PROM. I had forgotten what a
> serious PITA it is to have to wait 30 minutes to reprogram a device.
> (fortunately, we have spares, so I just cycle them through the eraser
> when we identify a change)
> 
> Then, there was the fun of trying to get a copy of ISE 4.2i - which
> wasn't easy even at a fortune 500 company that used it several years
> ago. I can't imagine trying to dig up the CD and a registration code as
> a hobbyist.

This is an ideal opportunity for open source synthesizers. There
are lots of businesses that have legacy systems >5 years old that
they must support. (Heck, I encounter it myself in day job.) In
many cases, not only can you not find the tool chains you need,
you also can't get the right version of Windows (these tools are
bloody picky about Window/DOS versions) to run on a machine that
one can actually buy:-/


- --
Steve Williams                "The woods are lovely, dark and deep.
steve at icarus.com           But I have promises to keep,
http://www.icarus.com         and lines to code before I sleep,
http://www.picturel.com       And lines to code before I sleep."


Article: 100806
Subject: Re: comparison with integer
From: "Jeff Brower" <jbrower@signalogic.com>
Date: 18 Apr 2006 09:41:15 -0700
Links: << >>  << T >>  << A >>
Mike-

Thanks Mike.

> Whatever XST is doing, I expect that it would match modelsim.

The code above never makes equality, but I don't know how to fix it.
Would you know what this means:

  Q13. An unconstrained integer results in bad quality.
  A13. This is a known limitation in XST. Support for this is planned
for ISE 9.1i.

This is on page:


http://www.xilinx.com/xlnx/xil_ans_display.jsp?BV_UseBVCookie=yes&getPagePath=21682&iLanguageID=1

but I can't find any other Xilinx reference to it.

-Jeff


Article: 100807
Subject: FPGA availability & distribution options.
From: pbdelete@spamnuke.ludd.luthdelete.se.invalid
Date: 18 Apr 2006 17:06:54 GMT
Links: << >>  << T >>  << A >>
I read the thread on xilinx online shop issues.

So how are the other options?
  (Altera, Lattice, Actel)

 - Priceing on small samples / evaluation boards ..?

 - Software is smooth and/or pricey..?

 - Have online shop, or _good_ distributors ..?    (I live in Europe)

 - Reliability (silicon & customer relations) ..?

 - Any new fpga manufactor to enter this market soon ..?


Article: 100808
Subject: Re: Petition about the xilinx online store ?
From: "PC" <philippe.chagny**NO-SPAM**@free.fr>
Date: Tue, 18 Apr 2006 19:24:43 +0200
Links: << >>  << T >>  << A >>


it seem that we are all ok.. this is a problem for us !

so what can we do ?

Philippz





"Mike Harrison" <mike@whitewing.co.uk> a écrit dans le message de news: 
omc942dabfdppfhsru93afs5e0ecdi6aqu@4ax.com...
> On Tue, 18 Apr 2006 08:58:53 +0000 (UTC), Uwe Bonnes 
> <bon@hertz.ikp.physik.tu-darmstadt.de> wrote:
>
>>Labo.EKO <labo.eko***nospam***@free.fr> wrote:
>>
>>
>>> hi everybody,
>>
>>> maybe we can do a petition about this xilinx store ?...
>>> i'm French user and i can affirm that Avnet France, preferer (to not 
>>> says
>>> want ) only big customers ..
>>> they are slow and expensive.. totaly incompatible with prototype phase.
>>
>>> Maybe Xilinx prefer that we try Lattice or others parts ?...
>>
>>> i'm realy not happy against that problem. they can be take example from
>>> Microchip & sample service ...
>>
>>> ( sorry for my bad english ! )
>>
>>I also plea for Xilinx keeping/reinstatiating the Online Shop. Dealing 
>>with
>>distributors for prototyping is not an easy task...
>
> Agreed - the problem is that distis are always chasing after sales, but 
> prototyping is done by tech
> people who usually can't answer questions about quantities, production 
> schedules etc.
> This is why it's much better for this end of the market to be served by 
> the manufacturer. 



Article: 100809
Subject: RIO Reference clock oscillator part
From: "John" <spam@spam.com>
Date: Tue, 18 Apr 2006 17:25:06 GMT
Links: << >>  << T >>  << A >>
In the RIO UG, two particular crystal oscillators parts are not just 
recommended but in effect mandated have to be used. I've used the Pletronics 
part successfully but it's expensive. There are lots of other manufacturers 
who make LVDS oscillators at the correct frequency and frequency stability.

Has anyone successfully used any other oscillator?
Are the requirements for the BREFCLK oscillator actually written down 
anywhere to allow comparison?

TIA,

Rog. 



Article: 100810
Subject: Re: Testing sample Aurora design on ML321 board
From: "billu" <bkamakot@gmail.com>
Date: 18 Apr 2006 11:41:20 -0700
Links: << >>  << T >>  << A >>
OK, so I finally figured out that you use PACE to assign I/O's of the
Aurora design to the pins on the board. But, when I cant seem to try to
assign the TX signals (TX_N or TX_P) to one of the MGT pins. (It color
code is Brown and the legend says Gigabit serial)

XAP661 uses the PowerPC w/ an RS232 to control the test. Is it possible
to just do some simple modifications and use that for testing? I guess
I'll probably hold on to my other questions about testing until I get
the basic design running.

Thx, 
Bala


Article: 100811
Subject: Re: Where is the xilinx online store gone?
From: Jon Elson <jmelson@artsci.wustl.edu>
Date: Tue, 18 Apr 2006 13:45:25 -0500
Links: << >>  << T >>  << A >>


Eric Smith wrote:

>Since Digikey is already a Xilinx distributor, and since they already do
>a great job of dealing with small orders, this would seem to be a much
>more logical arrangement than having Avnet do it.
>
>Anyhow, Digikey seems to to at as good or better a job as Avnet at
>stocking Xilinx parts.  If you click on the parts listed in the Xilinx
>"store" and wind up on the Avnet page, almost everything is listed as
>"out of stock", and a lot of valid device/package combinations simply
>aren't listed at all.
>  
>
My results are that they no longer stock a variety of parts I still use 
(all 5 V).
They still list them in the catalog, but you have to order many pieces 
of many of
them (last time I looked).  For instance, the XCS30-4TQ144C is now listed
as min order 60 pcs, $49.05 ea, for a total of $2943, which is a VERY big
order for my business.

>  
>
I get these parts in 25 pc quantity from an Australian distributor for 
$15 each.

Jon


Article: 100812
Subject: Re: RGMII mode on V4 Hard Tri-EMAC core
From: "MM" <mbmsv@yahoo.com>
Date: Tue, 18 Apr 2006 14:45:40 -0400
Links: << >>  << T >>  << A >>
"Florian" <googlegroups@47110815.com> wrote in message
news:1145347142.975586.77100@i39g2000cwa.googlegroups.com...
> Hi Mikhail,
>
> you have 2 ways:


Hi Florian,

Thanks a lot for pointing out these 2 methods. With regards to the second
method, will EDK pick up the cores from the local pcores directory instead
of its main repository automatically or will I have to import them as custom
peripherals after the change? Also, could you please comment on the software
side of the things? Just how much of the support is there and how much needs
to be written/modified?


Thanks,
/Mikhail



Article: 100813
Subject: Re: FPGA + FTDI
From: "Steve Knapp (Xilinx Spartan-3 Generation FPGAs)" <steve.knapp@xilinx.com>
Date: 18 Apr 2006 12:05:56 -0700
Links: << >>  << T >>  << A >>
How fixed are you on the FTDI part?

If the Cypress part will suffice, I'd recommend evaluating the
Opal-Kelly boards.
http://www.opalkelly.com/products/

The boards come with either an XC3S400, XC3S1000, or XC3S1500.  They
also have some excellent software that goes with it called FrontPanel
that essentially turns the USB interface into a host of virtual I/O
functions.  Thger are even API hooks for C++ and Phyton.
http://www.opalkelly.com/products/frontpanel/

---------------------------------
Steven K. Knapp
Applications Manager, Xilinx Inc.
General Products Division
Spartan-3/-3E FPGAs
http://www.xilinx.com/spartan3e
---------------------------------
The Spartan(tm)-3 Generation:  The World's Lowest-Cost FPGAs.


Article: 100814
Subject: Re: FPGA availability & distribution options.
From: "Gregory C. Read" <readgc.invalid@hotmail.com.invalid>
Date: Tue, 18 Apr 2006 15:09:06 -0400
Links: << >>  << T >>  << A >>
I buy small quantities of Actel parts for prototyping from the same folks 
who will eventually do the production programming.  BTW, many of the 
production runs are only 50 per year.

-- 
Greg

<pbdelete@spamnuke.ludd.luthdelete.se.invalid> wrote in message 
news:44451cae$0$490$cc7c7865@news.luth.se...
>I read the thread on xilinx online shop issues.
>
> So how are the other options?
>  (Altera, Lattice, Actel)
>
> - Priceing on small samples / evaluation boards ..?
>
> - Software is smooth and/or pricey..?
>
> - Have online shop, or _good_ distributors ..?    (I live in Europe)
>
> - Reliability (silicon & customer relations) ..?
>
> - Any new fpga manufactor to enter this market soon ..?
> 



Article: 100815
Subject: Re: FPGA + MAC board?
From: "Steve Knapp (Xilinx Spartan-3 Generation FPGAs)" <steve.knapp@xilinx.com>
Date: 18 Apr 2006 12:12:05 -0700
Links: << >>  << T >>  << A >>
Not sure how big of an FPGA you want, but here's a link to the Avnet
Spartan-3 Mini-Module.  It has an XC3S400 Spartan-3 FPGA and

http://www.em.avnet.com/evk/home/0,1719,RID%253D0%2526CID%253D25724%2526CCD%253DUSA%2526SID%253D4742%2526DID%253DDF2%2526SRT%253D1%2526LID%253D18806%2526PVW%253D%2526BID%253DDF2%2526CTP%253DEVK,00.html

or

http://tinyurl.com/ptc86

Product Brief
http://www.em.avnet.com/ctf_shared/evk/df2df2usa/Xilinx_Spartan-3_Mini-Module-Product_Brief.pdf

There is also a similar module with a Virtex-4 FX12 FPGA.

http://www.em.avnet.com/evk/home/0,1719,RID%253D0%2526CID%253D25726%2526CCD%253DUSA%2526SID%253D4742%2526DID%253DDF2%2526SRT%253D1%2526LID%253D18806%2526PVW%253D%2526BID%253DDF2%2526CTP%253DEVK,00.html

or

http://tinyurl.com/8eps2

---------------------------------
Steven K. Knapp
Applications Manager, Xilinx Inc.
General Products Division
Spartan-3/-3E FPGAs
http://www.xilinx.com/spartan3e
---------------------------------
The Spartan(tm)-3 Generation:  The World's Lowest-Cost FPGAs.


Article: 100816
Subject: Re: Spartan 3 chips in power up
From: "Steve Knapp (Xilinx Spartan-3 Generation FPGAs)" <steve.knapp@xilinx.com>
Date: 18 Apr 2006 12:17:06 -0700
Links: << >>  << T >>  << A >>
The Spartan-3 resistor values turned out a bit stronger than originally
expected during design.  The Spartan-3E resistors values are weaker,
but still strong enough to be useful.  Early FPGA families has
resistors up around 20K to 50K, too weak to be useful.

---------------------------------
Steven K. Knapp
Applications Manager, Xilinx Inc.
General Products Division
Spartan-3/-3E FPGAs
http://www.xilinx.com/spartan3e
---------------------------------
The Spartan(tm)-3 Generation:  The World's Lowest-Cost FPGAs.


Article: 100817
Subject: MaxPlus2 and the Byteblaster MV
From: Rene Tschaggelar <none@none.net>
Date: Tue, 18 Apr 2006 22:32:19 +0200
Links: << >>  << T >>  << A >>
For a legacy project I have MaxPlus2 with the
Byteblaster MV. For a reason unknown to me,
the Programmer modal tells me that the
programmer is not there. "Can't find programming
hardware"

I checked the JTAG pins and their voltage levels.
It should be correct. What else is checked ?

The device connected : EPM3128AT144-10

Rene
-- 
Ing.Buero R.Tschaggelar - http://www.ibrtses.com
& commercial newsgroups - http://www.talkto.net

Article: 100818
Subject: Re: FPGA availability & distribution options.
From: Rene Tschaggelar <none@none.net>
Date: Tue, 18 Apr 2006 22:37:14 +0200
Links: << >>  << T >>  << A >>
pbdelete@spamnuke.ludd.luthdelete.se.invalid wrote:

> I read the thread on xilinx online shop issues.
> 
> So how are the other options?
>   (Altera, Lattice, Actel)
> 
>  - Priceing on small samples / evaluation boards ..?
> 
>  - Software is smooth and/or pricey..?
> 
>  - Have online shop, or _good_ distributors ..?    (I live in Europe)
> 
>  - Reliability (silicon & customer relations) ..?
> 
>  - Any new fpga manufactor to enter this market soon ..?

The Altera parts a distributed by ebv,
amongst others. The EBV support is absolutely
great. The minimum invoice is 150 Fr.
This may pose a problem when the parts
are cheap, and for prototypes.

http://www.ebv.com

The free downloadable software from altera,
quartus, is useable.

Rene
-- 
Ing.Buero R.Tschaggelar - http://www.ibrtses.com
& commercial newsgroups - http://www.talkto.net

Article: 100819
Subject: Re: MaxPlus2 and the Byteblaster MV
From: Mike Treseler <mike_treseler@comcast.net>
Date: Tue, 18 Apr 2006 15:00:13 -0700
Links: << >>  << T >>  << A >>
Rene Tschaggelar wrote:

> I checked the JTAG pins and their voltage levels.
> It should be correct. What else is checked ?

The byteblaster cable to the PC serial or parallel port
and in some cases a software guard dongle on
the parallel port.

       -- Mike Treseler

Article: 100820
Subject: Re: PLD610
From: samiam <samiamSPAMTHIS@spamthis.org>
Date: Tue, 18 Apr 2006 18:19:30 -0400
Links: << >>  << T >>  << A >>
> You're about to waste your money, IMNSHO.  Especially if you don't already
> have a universal programmer that can handle them.

Point taken.
I wont invest in them ... especially after reading through my universal 
programmer and NOT seeing them listed.

> If they're free, they're too expensive.

Ok

> You can buy a brand-shiny-new Xilinx XC9536 in a PLCC for $3.30,

I avoid CPLD's. seriously. I think they are OVERKILL for the stuff I do
and put me wayyyyy above the details I like to fool around with when I
am designing boards

I also hate messing with anything that I can NOT get in DIP form since
I build the boards at home.

Maybe as my exposure and experience designing and building boards at
home improves ... I may get to that point.

But for now I love simple PLD's ... 16R8' 22v10's for replacing 74xx 
parts. Nothing more.

Article: 100821
Subject: driving high speed ADC using an FPGA
From: Sanka Piyaratna <jayasanka.piyaratna@gmail.com>
Date: Wed, 19 Apr 2006 08:18:39 +0930
Links: << >>  << T >>  << A >>
Hi Everyone,

I am wondering if it would be possible to drive a 800 MHz 10 bit 
parellel A/D using an FPGA which has a 100MHz system clock.

Thanks,

Sanka

Article: 100822
Subject: cannot be synthesized, bad synchronous description
From: Ingenrepons <ingenrespons@hotmail.com>
Date: Tue, 18 Apr 2006 16:26:02 -0700
Links: << >>  << T >>  << A >>
In connection with "Create Timing Constraints" the error ERROR:Xst:827 occurs.

We have the following fragment in our program:

--------------------------------- process (ARMFPGA1, FRAME_VALID, ARMFPGA3) begin if (ARMFPGA1='1' and ARMFPGA1'event) then -- state=1 when rising_edge(ARMFPGA1) state <= '1'; end if; if (FRAME_VALID='0') then state <= '0'; end if; if (ARMFPGA3='1' and ARMFPGA3'event) then state <= '0'; end if; end process; ---------------------------------

The intention is to:
* set state=1 when rising_edge(ARMFPGA1)
* set state=0 when (falling_edge(FRAME_VALID) or rising_edge(ARMFPGA3))

But according to: <http://www.xilinx.com/xlnx/xil_ans_display.jsp?iLanguageID=1&getPagePath=14047&BV_SessionID=@@@@1762176986.1145398809@@@@&BV_EngineID=cccgaddhighjkihcefeceihdffhdfjf.0> you can only have one ’event in a process.

Is it then impossible to realize the following wish: ???
* set state=1 when rising_edge(ARMFPGA1)
* set state=0 when (falling_edge(FRAME_VALID) or rising_edge(ARMFPGA3))

Article: 100823
Subject: Re: driving high speed ADC using an FPGA
From: "John_H" <johnhandwork@mail.com>
Date: Tue, 18 Apr 2006 23:27:07 GMT
Links: << >>  << T >>  << A >>
Probably.  Budget also works into the decision.
Do you have your FPGA family or specific device already targeted?
Please consider driving your ADC clock from a clean source that doesn't 
include the FPGA in the path; crosstalk from other I/O "near" a clock 
generated by the FPGA will add jitter to your high speed clock that could be 
detrimental to a very high performance system.

"Sanka Piyaratna" <jayasanka.piyaratna@gmail.com> wrote in message 
news:124ar68ie11gvcb@corp.supernews.com...
> Hi Everyone,
>
> I am wondering if it would be possible to drive a 800 MHz 10 bit parellel 
> A/D using an FPGA which has a 100MHz system clock.
>
> Thanks,
>
> Sanka 



Article: 100824
Subject: Viterbi IP Core
From: "Venkat" <venkat.japan@gmail.com>
Date: 18 Apr 2006 18:05:12 -0700
Links: << >>  << T >>  << A >>
Hello all,
   I am in lookout for a Viterbi IP Core for my project aimed for
programming in Xilinx Virtex II Pro device. The major requirement is
the support of parallel inputs to the core. Considering the frequency
limitation of the FPGA, the number of inputs are made parallel for high
data rate applications and hence the Viterbi Core should be capable of
accepting parallel input data (atleast 8 parallel inputs) and decode.
The latency involved is not a problem and I expect the Viterbi Core to
run at atleast 125 Mhz. Any information on vendor who sells this core
will be of great help to me. The closest I could find was the Xilinx
Viterbi Core which looks like can accept 7 Parallel Inputs (But for
some reason, while customising the core in Core Generator Wizard, it
highlights only 2 of the 7 parallel inputs). Any thoughts on this also
will be sincerely appreciated.

Looking forward to your feedback.

Thanks,
Venkat.




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search