Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Threads Starting May 2008

131754: 08/05/01: bjzhangwn@gmail.com: NIOS II CFI interface
    131761: 08/05/01: <ghelbig@lycos.com>: Re: NIOS II CFI interface
    131786: 08/05/01: bjzhangwn@gmail.com: Re: NIOS II CFI interface
    131864: 08/05/05: <ghelbig@lycos.com>: Re: NIOS II CFI interface
131755: 08/05/01: Antti: ARM Cortex for Altera available
    131757: 08/05/01: Jon Beniston: Re: ARM Cortex for Altera available
    131758: 08/05/01: Antti: Re: ARM Cortex for Altera available
131765: 08/05/01: whygee: Old FPGA question
    131768: 08/05/01: Duane Clark: Re: Old FPGA question
    131769: 08/05/01: Duane Clark: Re: Old FPGA question
        131773: 08/05/01: whygee: Re: Old FPGA question
            131775: 08/05/01: Duane Clark: Re: Old FPGA question
    131771: 08/05/01: <cs_posting@hotmail.com>: Re: Old FPGA question
        131772: 08/05/01: whygee: FLASH vs SRAM (was Re: Old FPGA question)
            131776: 08/05/01: Duane Clark: Re: FLASH vs SRAM (was Re: Old FPGA question)
                131778: 08/05/02: whygee: Re: FLASH vs SRAM (was Re: Old FPGA question)
    131823: 08/05/02: John Adair: Re: Old FPGA question
        131848: 08/05/03: whygee: Re: Old FPGA question
            131849: 08/05/04: Nicolas Matringe: Re: Old FPGA question
                131856: 08/05/05: whygee: Re: Old FPGA question
131779: 08/05/01: Bob: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131781: 08/05/01: MM: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
        131809: 08/05/02: MM: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
            131834: 08/05/02: MM: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
                131860: 08/05/05: =?ISO-8859-1?Q?Andreas_H=F6lscher?=: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
                    131865: 08/05/05: Martin Darwin: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
                        131889: 08/05/06: MM: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
                            131927: 08/05/07: MM: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058) RESOLVED
                                131950: 08/05/08: MM: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058) RESOLVED
                131862: 08/05/05: MM: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131784: 08/05/01: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131791: 08/05/02: Gabor: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131795: 08/05/02: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131814: 08/05/02: Antti: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131830: 08/05/02: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131853: 08/05/04: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131861: 08/05/05: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131874: 08/05/05: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131875: 08/05/05: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131891: 08/05/06: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131892: 08/05/06: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058)
    131926: 08/05/07: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058) RESOLVED
    131928: 08/05/07: Bob: Re: Argh! Need help debugging Xilinx .xsvf Player (XAPP058) RESOLVED
131788: 08/05/02: Nemesis: Virtex4 Output Pins during Configuration
    131799: 08/05/02: austin: Re: Virtex4 Output Pins during Configuration
        131805: 08/05/02: austin: Re: Virtex4 Output Pins during Configuration
    131801: 08/05/02: Nemesis: Re: Virtex4 Output Pins during Configuration
131794: 08/05/02: <ian.barnes@renishaw.com>: Quartus v7.x fitting bug
    131796: 08/05/02: Mike Treseler: Re: Quartus v7.x fitting bug
        131803: 08/05/02: Mike Treseler: Re: Quartus v7.x fitting bug
    131798: 08/05/02: KJ: Re: Quartus v7.x fitting bug
131797: 08/05/02: <bishopg12@gmail.com>: xilinx remote platform flash program
    131806: 08/05/02: MM: Re: xilinx remote platform flash program
131800: 08/05/02: FP: quick question
    131802: 08/05/02: Mike Treseler: Re: quick question
    131804: 08/05/02: austin: Re: quick question
        131810: 08/05/02: austin: Re: quick question
        131811: 08/05/02: Mike Treseler: Re: quick question
            131815: 08/05/02: austin: Re: quick question
                131816: 08/05/02: austin: Re: quick question
                131820: 08/05/02: Mike Treseler: Re: quick question
                    131826: 08/05/02: austin: Re: quick question
    131807: 08/05/02: FP: Re: quick question
    131808: 08/05/02: FP: Re: quick question
    131812: 08/05/02: KJ: Re: quick question
    131819: 08/05/02: FP: Re: quick question
    131821: 08/05/02: FP: Re: quick question
    131822: 08/05/02: KJ: Re: quick question
    131922: 08/05/07: rickman: Re: quick question
131817: 08/05/02: Kevin Neilson: Forking in One-Hot FSMs
    131818: 08/05/02: Kevin Neilson: Re: Forking in One-Hot FSMs
        131824: 08/05/02: Aiken: Re: Forking in One-Hot FSMs
            131827: 08/05/02: Kevin Neilson: Re: Forking in One-Hot FSMs
    131828: 08/05/02: Brad Smallridge: Re: Forking in One-Hot FSMs
        131832: 08/05/02: KJ: Re: Forking in One-Hot FSMs
            131863: 08/05/05: Brad Smallridge: Re: Forking in One-Hot FSMs
                131869: 08/05/05: Kevin Neilson: Re: Forking in One-Hot FSMs
                    131876: 08/05/06: KJ: Re: Forking in One-Hot FSMs
        131837: 08/05/03: Mike Treseler: Re: Forking in One-Hot FSMs
        131867: 08/05/05: KJ: Re: Forking in One-Hot FSMs
    131831: 08/05/02: Eric Smith: Re: Forking in One-Hot FSMs
        131868: 08/05/05: Kevin Neilson: Re: Forking in One-Hot FSMs
            131878: 08/05/06: Eric Smith: Re: Forking in One-Hot FSMs
                131920: 08/05/07: glen herrmannsfeldt: Re: Forking in One-Hot FSMs
        131931: 08/05/07: Tommy Thorn: Re: Forking in One-Hot FSMs
131835: 08/05/03: 0xdeadbeef: Aldec Active-HDL 7.3 sp1 [stimulators]
    131836: 08/05/03: Mike Treseler: Re: Aldec Active-HDL 7.3 sp1 [stimulators]
    131880: 08/05/06: 0xdeadbeef: Re: Aldec Active-HDL 7.3 sp1 [stimulators]
    131897: 08/05/06: Patrick Dubois: Re: Aldec Active-HDL 7.3 sp1 [stimulators]
131838: 08/05/03: Partha: Using SRL16
    131839: 08/05/03: Mike Treseler: Re: Using SRL16
        131844: 08/05/03: John_H: Re: Using SRL16
    131840: 08/05/03: austin: Re: Using SRL16
        131852: 08/05/04: austin: Re: Using SRL16 with reset
            131855: 08/05/04: Nico Coesel: Re: Using SRL16 with reset
    131841: 08/05/03: <jprovidenza@yahoo.com>: Re: Using SRL16
    131842: 08/05/03: Sean Durkin: Re: Using SRL16
    131845: 08/05/03: Alain: Re: Using SRL16
131843: 08/05/03: <HansWernerMarschke@web.de>: FPGA Processor for Signal Processing ?
    131850: 08/05/04: morphiend: Re: FPGA Processor for Signal Processing ?
    131851: 08/05/04: Brian Drummond: Re: FPGA Processor for Signal Processing ?
        131858: 08/05/05: Andreas Ehliar: Re: FPGA Processor for Signal Processing ?
131854: 08/05/04: fpganut: need recommendation for PCB fab & BGA assembly vendor, I'm in SF bay area
131857: 08/05/04: <chrisdekoh@gmail.com>: EDK9.2i simulation problems.
    131859: 08/05/05: Göran Bilski: Re: EDK9.2i simulation problems.
        131881: 08/05/06: Göran Bilski: Re: EDK9.2i simulation problems.
    131872: 08/05/05: <chrisdekoh@gmail.com>: Re: EDK9.2i simulation problems.
131873: 08/05/05: <854272335@qq.com>: Silicon
131879: 08/05/06: <cjt101@yahoo.com>: Looking for FPGA/CPLD skills to develop prototype
131882: 08/05/06: Simon: Xilinx ISE 10 in CentOS not showing in application menu list
    131902: 08/05/06: =?ISO-8859-1?Q?Nicolas_Herv=E9?=: Re: Xilinx ISE 10 in CentOS not showing in application menu list
131883: 08/05/06: Partha: Using Sysgen v8.2
131884: 08/05/06: <zuzaila@gmail.com>: How program PROM from msc file
    131885: 08/05/06: Arlet Ottens: Re: How program PROM from msc file
131886: 08/05/06: <bamboutcha9999@hotmail.com>: BRAM initialization / bitstream configuration
    131888: 08/05/06: austin: Re: BRAM initialization / bitstream configuration
    131896: 08/05/06: <bamboutcha9999@hotmail.com>: Re: BRAM initialization / bitstream configuration
131887: 08/05/06: Andreas Ehliar: Re: Getting started with VHDL and Verilog
131890: 08/05/06: Dave: Re: warning from ISE 9.2
131893: 08/05/06: <jraj.thakkar@gmail.com>: Getting started with VHDL and Verilog
    131894: 08/05/06: austin: Re: Getting started with VHDL and Verilog
    131898: 08/05/06: <jraj.thakkar@gmail.com>: Re: Getting started with VHDL and Verilog
    131899: 08/05/06: <jraj.thakkar@gmail.com>: Re: Getting started with VHDL and Verilog
    131900: 08/05/06: Mike Treseler: Re: Getting started with VHDL and Verilog
    131914: 08/05/07: Guenter Dannoritzer: Re: Getting started with VHDL and Verilog
    132064: 08/05/12: Matthew Hicks: Re: Getting started with VHDL and Verilog
131901: 08/05/06: egadget1: Call VHDL module from Verilog
    131903: 08/05/06: egadget1: Re: Call VHDL module from Verilog
        131904: 08/05/06: Mike Treseler: Re: Call VHDL module from Verilog
131905: 08/05/06: <climber.tim@gmail.com>: FPGA dev kit with 4-8 Cyclones or Spartans
    131906: 08/05/06: austin: Re: FPGA dev kit with 4-8 Cyclones or Spartans
        131912: 08/05/06: Gavin Scott: Re: FPGA dev kit with 4-8 Cyclones or Spartans
        131925: 08/05/07: Gavin Scott: Re: FPGA dev kit with 4-8 Cyclones or Spartans
    131907: 08/05/06: Nathan Bialke: Re: FPGA dev kit with 4-8 Cyclones or Spartans
    131908: 08/05/06: Gavin Scott: Re: FPGA dev kit with 4-8 Cyclones or Spartans
    131911: 08/05/06: <climber.tim@gmail.com>: Re: FPGA dev kit with 4-8 Cyclones or Spartans
    131913: 08/05/07: John Adair: Re: FPGA dev kit with 4-8 Cyclones or Spartans
131909: 08/05/06: Kevin Neilson: NGC / EDIF Viewer
131910: 08/05/06: Kevin Neilson: DSP48 Inference Template for XST
    131916: 08/05/07: Kevin Neilson: Re: DSP48 Inference Template for XST
131915: 08/05/07: Alan Nishioka: Does anyone have sdio protocol experience?
    132056: 08/05/12: Alan Nishioka: sdio controller in fpga
131917: 08/05/07: bart: ANNC: FPGA Design Software Webcast
    131918: 08/05/07: John Larkin: Re: ANNC: FPGA Design Software Webcast
        131921: 08/05/08: Jim Granville: Re: ANNC: FPGA Design Software Webcast
            131930: 08/05/07: John Larkin: Re: ANNC: FPGA Design Software Webcast
            131934: 08/05/07: John_H: Re: ANNC: FPGA Design Software Webcast
        131923: 08/05/07: CBFalconer: Re: ANNC: FPGA Design Software Webcast
            131935: 08/05/07: John Larkin: Re: ANNC: FPGA Design Software Webcast
            131952: 08/05/08: ehsjr: Re: ANNC: FPGA Design Software Webcast
        131929: 08/05/07: John Larkin: Re: ANNC: FPGA Design Software Webcast
            131932: 08/05/07: BobW: Re: ANNC: FPGA Design Software Webcast
                131945: 08/05/08: David L. Jones: Re: ANNC: FPGA Design Software Webcast
                    131959: 08/05/08: Robert Miles: Re: ANNC: FPGA Design Software Webcast
                        131960: 08/05/08: John Larkin: Re: ANNC: FPGA Design Software Webcast
                            131963: 08/05/08: CBFalconer: Re: ANNC: FPGA Design Software Webcast
                                131972: 08/05/08: John Larkin: Re: ANNC: FPGA Design Software Webcast
                                    131973: 08/05/08: CBFalconer: Re: ANNC: FPGA Design Software Webcast
                                        131990: 08/05/09: BobW: Re: ANNC: FPGA Design Software Webcast
                        131961: 08/05/08: CBFalconer: Re: ANNC: FPGA Design Software Webcast
                        131965: 08/05/09: Jim Granville: Re: ANNC: FPGA Design Software Webcast
                132217: 08/05/18: Ben Bradley: Re: ANNC: FPGA Design Software Webcast
                    132219: 08/05/18: Robert Miles: Re: ANNC: FPGA Design Software Webcast
    131919: 08/05/07: John_H: Re: ANNC: FPGA Design Software Webcast
    131946: 08/05/08: rickman: Re: ANNC: FPGA Design Software Webcast
    131949: 08/05/08: rickman: Re: ANNC: FPGA Design Software Webcast
    132218: 08/05/18: Alex: Re: ANNC: FPGA Design Software Webcast
    132220: 08/05/18: Alex: Re: ANNC: FPGA Design Software Webcast
131938: 08/05/08: Matthias Alles: Re: ps2 mouse protocol
131940: 08/05/08: taco: EDK for spartan2?
    131942: 08/05/08: Markus: Re: EDK for spartan2?
    131957: 08/05/08: <ghelbig@lycos.com>: Re: EDK for spartan2?
131941: 08/05/08: louis: Dual rank DDR2 memory for Xilinx ML410 board
131944: 08/05/08: axalay: Quartus 7.2 and PCI Express
    131947: 08/05/08: <=?ISO-8859-1?Q?G=F3rski_Adam?=>: Re: Quartus 7.2 and PCI Express
        131953: 08/05/08: <=?GB2312?B?R6iucnNraSBBZGFt?=>: Re: Quartus 7.2 and PCI Express
            131987: 08/05/09: <=?ISO-8859-1?Q?G=F3rski_Adam?=>: Re: Quartus 7.2 and PCI Express
    131951: 08/05/08: axalay: Re: Quartus 7.2 and PCI Express
    131954: 08/05/08: axalay: Re: Quartus 7.2 and PCI Express
    131956: 08/05/08: <ghelbig@lycos.com>: Re: Quartus 7.2 and PCI Express
    131958: 08/05/08: axalay: Re: Quartus 7.2 and PCI Express
    131989: 08/05/09: axalay: Re: Quartus 7.2 and PCI Express
    131991: 08/05/09: axalay: Re: Quartus 7.2 and PCI Express
131948: 08/05/08: whygee: Re: ps2 mouse protocol
131955: 08/05/08: PG: ML300 evaluation board broken?
131962: 08/05/08: jon: Virtex XCV1000E-6FG860C
    131964: 08/05/08: austin: Re: Virtex XCV1000E-6FG860C
        131966: 08/05/08: BobW: Re: Virtex XCV1000E-6FG860C
        132002: 08/05/09: austin: Re: Virtex XCV1000E-6FG860C
    132001: 08/05/09: jon: Re: Virtex XCV1000E-6FG860C
    132095: 08/05/13: Peter Alfke: Re: Virtex XCV1000E-6FG860C
131967: 08/05/08: Rob Gaddi: Spartan 3 Mapping Problem
    131968: 08/05/08: John_H: Re: Spartan 3 Mapping Problem
        131969: 08/05/08: Rob Gaddi: Re: Spartan 3 Mapping Problem
            132000: 08/05/09: Rob Gaddi: Re: Spartan 3 Mapping Problem
        131970: 08/05/08: John_H: Re: Spartan 3 Mapping Problem
        131971: 08/05/08: Marvin: Re: Spartan 3 Mapping Problem
131974: 08/05/08: fpganut: Anyway to secure a Xilinx NGC file ?
    131999: 08/05/09: austin: Re: Anyway to secure a Xilinx NGC file ?
        132020: 08/05/09: fpganut: Re: Anyway to secure a Xilinx NGC file ?
            132065: 08/05/12: austin: Re: Anyway to secure a Xilinx NGC file ?
    132004: 08/05/09: SoyAnarchisto: Re: Anyway to secure a Xilinx NGC file ?
        132029: 08/05/10: Muzaffer Kal: Re: Anyway to secure a Xilinx NGC file ?
        132030: 08/05/10: John McCaskill: Re: Anyway to secure a Xilinx NGC file ?
131975: 08/05/09: beky4kr@gmail.com: AHB and APB master VHDL generator
    131979: 08/05/09: Guru: Re: AHB and APB master VHDL generator
        131981: 08/05/09: <sky465nm@trline4.org>: Re: AHB and APB master VHDL generator
131976: 08/05/09: beky4kr@gmail.com: SDIO CRC7 + VCD waves
    131977: 08/05/09: Alain: Re: SDIO CRC7 + VCD waves
131978: 08/05/09: maverick: 5 V oscillator output to GCLK
    131985: 08/05/09: Brian Drummond: Re: 5 V oscillator output to GCLK
        131993: 08/05/09: David Spencer: Re: 5 V oscillator output to GCLK
            132005: 08/05/09: David Spencer: Re: 5 V oscillator output to GCLK
                132009: 08/05/09: KJ: Re: 5 V oscillator output to GCLK
            132006: 08/05/09: Jon Elson: Re: 5 V oscillator output to GCLK
                132011: 08/05/09: KJ: Re: 5 V oscillator output to GCLK
                132013: 08/05/10: Jim Granville: Re: 5 V oscillator output to GCLK
                    132015: 08/05/10: Jim Granville: Re: 5 V oscillator output to GCLK
                        132022: 08/05/10: Jim Granville: Re: 5 V oscillator output to GCLK
                            132031: 08/05/10: KJ: Re: 5 V oscillator output to GCLK
                                132033: 08/05/10: KJ: Re: 5 V oscillator output to GCLK
                132101: 08/05/13: glen herrmannsfeldt: Re: 5 V oscillator output to GCLK
    131988: 08/05/09: Kolja Sulimma: Re: 5 V oscillator output to GCLK
    131994: 08/05/09: KJ: Re: 5 V oscillator output to GCLK
    131996: 08/05/09: John_H: Re: 5 V oscillator output to GCLK
        132003: 08/05/10: Jim Granville: Re: 5 V oscillator output to GCLK
    132008: 08/05/09: Peter Alfke: Re: 5 V oscillator output to GCLK
    132010: 08/05/09: KJ: Re: 5 V oscillator output to GCLK
        132017: 08/05/09: pdudley1@comcast.net: Re: 5 V oscillator output to GCLK
    132012: 08/05/09: Peter Alfke: Re: 5 V oscillator output to GCLK
    132014: 08/05/09: Peter Alfke: Re: 5 V oscillator output to GCLK
    132016: 08/05/09: Peter Alfke: Re: 5 V oscillator output to GCLK
    132037: 08/05/10: Peter Alfke: Re: 5 V oscillator output to GCLK
    132092: 08/05/13: Kolja Sulimma: Re: 5 V oscillator output to GCLK
131980: 08/05/09: Clemens: Xilinx Platform USB Cable II
    131982: 08/05/09: Clemens: Re: Xilinx Platform USB Cable II
    131984: 08/05/09: <sky465nm@trline4.org>: Re: Xilinx Platform USB Cable II
        131986: 08/05/09: Clemens: Re: Xilinx Platform USB Cable II
131983: 08/05/09: Goli: Vritex2PRO: LVDCI for inputs?
    131992: 08/05/09: austin: Re: Vritex2PRO: LVDCI for inputs?
131995: 08/05/09: Fred: ISE 9.2 - how do I extract component/slice placements for locking
    131997: 08/05/09: Kevin Neilson: Re: ISE 9.2 - how do I extract component/slice placements for locking
        132025: 08/05/10: Fred: Re: ISE 9.2 - how do I extract component/slice placements for locking down a design?
    132007: 08/05/09: SoyAnarchisto: Re: ISE 9.2 - how do I extract component/slice placements for locking
132018: 08/05/09: bjzhangwn@gmail.com: udp receive problem under nios
132021: 08/05/10: beky4kr@gmail.com: Conversion from VERILOG READMEMB to INTEL HEX
132024: 08/05/10: beky4kr@gmail.com: USB full speed final project proposal
132026: 08/05/10: vits: getting samples from an RF board onto the system
    132027: 08/05/10: Arlet Ottens: Re: getting samples from an RF board onto the system
132028: 08/05/10: TSIuser: Xilinx ML507 evaluation board (V5FXT70)?
132032: 08/05/10: Pratap: how to set trigger in ChipScopePro for this
    132034: 08/05/11: Marty Ryba: Re: how to set trigger in ChipScopePro for this
    132035: 08/05/10: Joseph Samson: Re: how to set trigger in ChipScopePro for this
    132042: 08/05/11: Brian Drummond: Re: how to set trigger in ChipScopePro for this
    132069: 08/05/12: Pratap: Re: how to set trigger in ChipScopePro for this
132048: 08/05/11: kislo: RLC package parasitics
    132050: 08/05/12: David Spencer: Re: RLC package parasitics
    132066: 08/05/12: austin: Re: RLC package parasitics
132051: 08/05/12: Jeff Cunningham: has anyone made PLB_DDR work with 1Gb DRAM chips?
132055: 08/05/11: Vagant: How to input an analog signal to FPGA board for processing?
    132057: 08/05/12: kclo4: Re: How to input an analog signal to FPGA board for processing?
        132062: 08/05/12: Frank Buss: Re: How to input an analog signal to FPGA board for processing?
        132072: 08/05/12: MM: Re: How to input an analog signal to FPGA board for processing?
    132061: 08/05/12: Vagant: Re: How to input an analog signal to FPGA board for processing?
    132070: 08/05/12: Vagant: Re: How to input an analog signal to FPGA board for processing?
    132083: 08/05/12: Andy Peters: Re: How to input an analog signal to FPGA board for processing?
    132120: 08/05/14: Vagant: Re: How to input an analog signal to FPGA board for processing?
132058: 08/05/12: <swissiyoussef@gmail.com>: Is Virtex 4 supported by Jbits ?
    132067: 08/05/12: austin: Re: Is Virtex 4 supported by Jbits ?
132059: 08/05/12: Goli: value of the weak pull up resistor on IOBs of Virtex5
    132060: 08/05/12: Frank Buss: Re: value of the weak pull up resistor on IOBs of Virtex5
    132068: 08/05/12: austin: Re: value of the weak pull up resistor on IOBs of Virtex5
132076: 08/05/12: xcr3064xl: Programming XCR3064xl - voltage at output stuck at 0
    132082: 08/05/13: Jim Granville: Re: Programming XCR3064xl - voltage at output stuck at 0
        132124: 08/05/15: Jim Granville: Re: Programming XCR3064xl - voltage at output stuck at 0
    132087: 08/05/12: Dave Pollum: Re: Programming XCR3064xl - voltage at output stuck at 0
    132098: 08/05/13: xcr3064xl: Re: Programming XCR3064xl - voltage at output stuck at 0
    132099: 08/05/13: xcr3064xl: Re: Programming XCR3064xl - voltage at output stuck at 0
    132123: 08/05/14: xcr3064xl: Re: Programming XCR3064xl - voltage at output stuck at 0
    132150: 08/05/15: xcr3064xl: Re: Programming XCR3064xl - voltage at output stuck at 0
132089: 08/05/12: uche: xsa-50 issues
    132125: 08/05/14: Jecel: Re: xsa-50 issues
132097: 08/05/13: John Blyler: Need help on ASIC/ASSP FGPA-based prototyping and verification survey
132102: 08/05/13: kislo: power supply noise margin
    132107: 08/05/13: austin: Re: power supply noise margin
132110: 08/05/13: John_H: Yay! We're done with the quadrature encoder!
    132113: 08/05/14: Jim Granville: Re: Yay! We're done with the quadrature encoder!
    132122: 08/05/14: Jon Elson: Re: Yay! We're done with the quadrature encoder!
        132133: 08/05/15: Symon: Re: Yay! We're done with the quadrature encoder!
    132346: 08/05/22: MikeWhy: Re: Yay! We're done with the quadrature encoder!
132112: 08/05/14: louis: About the user defined instruction in APU
132114: 08/05/14: Zorjak: xilinx beginner modelsim question
    132117: 08/05/14: Barry: Re: xilinx beginner modelsim question
    132118: 08/05/14: Dave Pollum: Re: xilinx beginner modelsim question
    132119: 08/05/14: Dave: Re: xilinx beginner modelsim question
    132132: 08/05/15: Zorjak: Re: xilinx beginner modelsim question
132115: 08/05/14: kclo4: demo board under 500usd
132116: 08/05/14: fmostafa: problem in using ICAP
132121: 08/05/14: <ghelbig@lycos.com>: Re: demo board under 500usd
132126: 08/05/14: <ghelbig@lycos.com>: How do I get Xilinx EDK to load a 'custom' XBD file?
    132145: 08/05/15: <ghelbig@lycos.com>: Re: How do I get Xilinx EDK to load a 'custom' XBD file?
    132152: 08/05/15: Bryan: Re: How do I get Xilinx EDK to load a 'custom' XBD file?
132127: 08/05/14: fazulu deen: FPGA imp
    132128: 08/05/15: Kolja Sulimma: Re: FPGA imp
        132172: 08/05/16: Brian Drummond: Re: FPGA imp
    132130: 08/05/15: fazulu deen: Re: FPGA imp
    132134: 08/05/15: Kolja Sulimma: Re: FPGA imp
    132137: 08/05/15: fazulu deen: Re: FPGA imp
132129: 08/05/15: taco: xilinx spi core question (microblaze)
    132151: 08/05/15: radarman: Re: xilinx spi core question (microblaze)
132131: 08/05/15: Dolphin: Cyclone 3 on chip termination
    132142: 08/05/15: austin: Re: Cyclone 3 on chip termination
        132154: 08/05/15: Rob: Re: Cyclone 3 on chip termination
    132159: 08/05/16: Karl: Re: Cyclone 3 on chip termination
    132160: 08/05/16: Karl: Re: Cyclone 3 on chip termination
        132180: 08/05/16: austin: Re: Cyclone 3 margins: none at all at 3.3v
            132195: 08/05/16: Joseph H Allen: Re: Cyclone 3 margins: none at all at 3.3v
                132196: 08/05/16: austin: Re: Cyclone 3 margins: none at all at 3.3v
    132232: 08/05/19: Dolphin: Re: Cyclone 3 margins: none at all at 3.3v
132135: 08/05/15: <Crhonos04@gmail.com>: Camera link interface
    132136: 08/05/15: Enes Erdin: Re: Camera link interface
    132138: 08/05/15: Enes Erdin: Re: Camera link interface
    132140: 08/05/15: mamu: Re: Camera link interface
    132144: 08/05/15: wicky: Re: Camera link interface
    132147: 08/05/15: Brad Smallridge: Re: Camera link interface
    132153: 08/05/15: Rob: Re: Camera link interface
        132194: 08/05/16: Brad Smallridge: Re: Camera link interface
        132203: 08/05/16: Rob: Re: Camera link interface
    132163: 08/05/16: <JPiqueras.M@gmail.com>: Re: Camera link interface
    132229: 08/05/19: <Crhonos04@gmail.com>: Re: Camera link interface
    132230: 08/05/19: <Crhonos04@gmail.com>: Re: Camera link interface
132139: 08/05/15: <magne.munkejord@gmail.com>: question about high speed serial links with clock forwarding in
    132146: 08/05/15: austin: Re: question about high speed serial links with clock forwarding
132141: 08/05/15: Dolphin: Altera Cyclone 3 external clamping diode
132143: 08/05/15: wicky: PCI to SATA of industrial class ( -40 - 85 )
    132197: 08/05/16: wicky: Re: PCI to SATA of industrial class ( -40 - 85 )
132148: 08/05/15: recoder: Open source Core generators?
    132155: 08/05/16: Guenter Dannoritzer: Re: Open source Core generators?
132156: 08/05/16: karthick: difference between 8.2i and 9.2i with respect to Microblaze Core
    132198: 08/05/16: wicky: Re: difference between 8.2i and 9.2i with respect to Microblaze Core
        132226: 08/05/19: Göran Bilski: Re: difference between 8.2i and 9.2i with respect to Microblaze Core
    132224: 08/05/18: karthick: Re: difference between 8.2i and 9.2i with respect to Microblaze Core
132157: 08/05/16: Narendra Sisodiya: LwBT port for microblaze
132158: 08/05/16: <bamboutcha9999@hotmail.com>: distributed RAM / BRAM
    132161: 08/05/16: Symon: Re: distributed RAM / BRAM
132162: 08/05/16: Enes Erdin: Length between blocks in FPGA
    132166: 08/05/16: backhus: Re: Length between blocks in FPGA
132164: 08/05/16: O. Olson: Incorporating FPGAs on PCBs
    132168: 08/05/16: Kolja Sulimma: Re: Incorporating FPGAs on PCBs
        132201: 08/05/16: glen herrmannsfeldt: Re: Incorporating FPGAs on PCBs
    132169: 08/05/16: O. Olson: Re: Incorporating FPGAs on PCBs
    132171: 08/05/16: Enes Erdin: Re: Incorporating FPGAs on PCBs
    132173: 08/05/16: Brian Drummond: Re: Incorporating FPGAs on PCBs
    132176: 08/05/16: O. Olson: Re: Incorporating FPGAs on PCBs
    132177: 08/05/16: Enes Erdin: Re: Incorporating FPGAs on PCBs
    132178: 08/05/16: O. Olson: Re: Incorporating FPGAs on PCBs
    132179: 08/05/16: Enes Erdin: Re: Incorporating FPGAs on PCBs
    132183: 08/05/16: O. Olson: Re: Incorporating FPGAs on PCBs
    132186: 08/05/16: Rob Gaddi: Re: Incorporating FPGAs on PCBs
    132188: 08/05/16: Enes Erdin: Re: Incorporating FPGAs on PCBs
    132190: 08/05/16: O. Olson: Re: Incorporating FPGAs on PCBs
    132191: 08/05/16: <ghelbig@lycos.com>: Re: Incorporating FPGAs on PCBs
    132204: 08/05/17: Enes Erdin: Re: Incorporating FPGAs on PCBs
    132216: 08/05/18: rickman: Re: Incorporating FPGAs on PCBs
    132259: 08/05/20: PFC: Re: Incorporating FPGAs on PCBs
132165: 08/05/16: Pablo: What could be the problem?
    132167: 08/05/16: backhus: Re: What could be the problem?
        132174: 08/05/16: Brian Drummond: Re: What could be the problem?
            132182: 08/05/16: David Spencer: Re: What could be the problem?
                132185: 08/05/16: Muzaffer Kal: Re: What could be the problem?
    132170: 08/05/16: Pablo: Re: What could be the problem?
132175: 08/05/16: <mspiegels@gmail.com>: Vref IO problems with DDR SDRAM memory controller on a Virtex 4 LX25,
    132199: 08/05/17: Brian Drummond: Re: Vref IO problems with DDR SDRAM memory controller on a Virtex 4 LX25, ML401 board
132181: 08/05/16: ratemonotonic: Resetting FPGA Without watch dog timer
    132184: 08/05/16: austin: Re: Resetting FPGA Without watch dog timer
        132200: 08/05/16: glen herrmannsfeldt: Re: Resetting FPGA Without watch dog timer
            132202: 08/05/17: Symon: Re: Resetting FPGA Without watch dog timer
        132242: 08/05/19: austin: Re: Resetting FPGA Without watch dog timer
    132192: 08/05/16: Peter Alfke: Re: Resetting FPGA Without watch dog timer
    132227: 08/05/19: ratemonotonic: Re: Resetting FPGA Without watch dog timer
    132228: 08/05/19: ratemonotonic: Re: Resetting FPGA Without watch dog timer
    132246: 08/05/19: Peter Alfke: Re: Resetting FPGA Without watch dog timer
    132250: 08/05/20: Jim Granville: Re: Resetting FPGA Without watch dog timer
    132252: 08/05/19: ratemonotonic: Re: Resetting FPGA Without watch dog timer
132187: 08/05/16: dajjou: frame format virtex 5
    132189: 08/05/16: austin: Re: frame format virtex 5
        132243: 08/05/19: austin: Re: frame format virtex 5
    132241: 08/05/19: <bamboutcha9999@hotmail.com>: Re: frame format virtex 5
132193: 08/05/16: explore: System configuration for Xilinx ISE 10.1 - Virtex 5 LX110t or bigger
    132222: 08/05/18: Moazzam: Re: System configuration for Xilinx ISE 10.1 - Virtex 5 LX110t or
132205: 08/05/17: checo: FPGA art
    132206: 08/05/17: Enes Erdin: Re: FPGA art
    132208: 08/05/17: checo: Re: FPGA art
132207: 08/05/17: rickman: Xilinx ISE simulator
132209: 08/05/17: rickman: Problem with conversions.vhd
    132210: 08/05/17: rickman: Re: Problem with conversions.vhd
        132211: 08/05/18: Brian Drummond: Re: Problem with conversions.vhd
        132213: 08/05/18: Brian Drummond: Re: Problem with conversions.vhd
            132214: 08/05/18: Jonathan Bromley: Re: Problem with conversions.vhd
                132231: 08/05/19: Brian Drummond: Re: Problem with conversions.vhd
    132215: 08/05/18: rickman: Re: Problem with conversions.vhd
    132265: 08/05/19: rickman: Re: Problem with conversions.vhd
132212: 08/05/18: uche: XSA-50 implementation
132221: 08/05/18: vikram: XILINX Ethernet MAC (URGENT...)
    132235: 08/05/19: morphiend: Re: XILINX Ethernet MAC (URGENT...)
    132298: 08/05/20: vikram: Re: XILINX Ethernet MAC (URGENT...)
    132310: 08/05/21: morphiend: Re: XILINX Ethernet MAC (URGENT...)
    132332: 08/05/21: vikram: Re: XILINX Ethernet MAC (URGENT...)
    132368: 08/05/23: morphiend: Re: XILINX Ethernet MAC (URGENT...)
132223: 08/05/18: kris2552: SKEW greater than Time period of CLK
    132244: 08/05/19: <ghelbig@lycos.com>: Re: SKEW greater than Time period of CLK
    132269: 08/05/20: Thomas Stanka: Re: SKEW greater than Time period of CLK
132225: 08/05/18: Jespr: Problem with Scheduler in Xilkernel.
    132236: 08/05/19: morphiend: Re: Problem with Scheduler in Xilkernel.
    132268: 08/05/19: Jespr: Re: Problem with Scheduler in Xilkernel.
    132274: 08/05/20: Guy Eschemann: Re: Problem with Scheduler in Xilkernel.
    132302: 08/05/20: Jespr: Re: Problem with Scheduler in Xilkernel.
132233: 08/05/19: morphiend: Re: System configuration for Xilinx ISE 10.1 - Virtex 5 LX110t or
132234: 08/05/19: Clemens: 2-bit Pseudo Random Number Generator
    132237: 08/05/19: Jonathan Bromley: Re: 2-bit Pseudo Random Number Generator
        132238: 08/05/19: Clemens: Re: 2-bit Pseudo Random Number Generator
            132240: 08/05/19: Arlet Ottens: Re: 2-bit Pseudo Random Number Generator
            132245: 08/05/19: Rob Gaddi: Re: 2-bit Pseudo Random Number Generator
            132256: 08/05/19: Jeff Cunningham: Re: 2-bit Pseudo Random Number Generator
                132273: 08/05/20: Symon: Re: 2-bit Pseudo Random Number Generator
                    132295: 08/05/20: Jeff Cunningham: Re: 2-bit Pseudo Random Number Generator
            132300: 08/05/21: backhus: Re: 2-bit Pseudo Random Number Generator
        132239: 08/05/19: Kolja Sulimma: Re: 2-bit Pseudo Random Number Generator
132247: 08/05/19: Pablo: I cannot find how to map a "record type" in my ucf file.
    132249: 08/05/19: Mike Treseler: Re: I cannot find how to map a "record type" in my ucf file.
    132251: 08/05/19: KJ: Re: I cannot find how to map a "record type" in my ucf file.
        132281: 08/05/20: Kevin Neilson: Re: I cannot find how to map a "record type" in my ucf file.
    132270: 08/05/20: Pablo: Re: I cannot find how to map a "record type" in my ucf file.
132248: 08/05/19: John Adair: Stratix IV Announced
    132253: 08/05/19: austin: Announcing Virtex 57!
    132255: 08/05/20: Jim Granville: Re: Stratix IV Announced
    132262: 08/05/20: Joseph H Allen: Re: Stratix IV Announced
        132280: 08/05/20: austin: Re: Stratix IV Announced
            132284: 08/05/20: Mike Treseler: Re: Stratix IV Announced
            132297: 08/05/21: Kim Enkovaara: Re: Stratix IV Announced
                132321: 08/05/22: Jim Granville: Re: Stratix IV Announced
    132271: 08/05/20: John Adair: Re: Stratix IV Announced
    132299: 08/05/20: Peter Alfke: Re: Stratix IV Announced
    132301: 08/05/20: Fredrik: Re: Stratix IV Announced
    132304: 08/05/21: Jon Beniston: Re: Stratix IV Announced
    132308: 08/05/21: Antti: Re: Stratix IV Announced
    132339: 08/05/22: Antti: Re: Stratix IV Announced
    132385: 08/05/24: <turkey_bird@yahoo.com>: Re: Stratix IV Announced
    132390: 08/05/25: <turkey_bird@yahoo.com>: Re: Stratix IV Announced
    132394: 08/05/25: rickman: Re: Stratix IV Announced
132254: 08/05/19: Aiken: HELP: a Funny asynchronous input design
    132264: 08/05/19: Peter Alfke: Re: HELP: a Funny asynchronous input design
        133008: 08/06/13: RCIngham: Re: HELP: a Funny asynchronous input design
    132277: 08/05/20: Aiken: Re: HELP: a Funny asynchronous input design
    132278: 08/05/20: Gabor: Re: HELP: a Funny asynchronous input design
    132282: 08/05/20: Peter Alfke: Re: HELP: a Funny asynchronous input design
    132286: 08/05/20: Aiken: Re: HELP: a Funny asynchronous input design
    133004: 08/06/12: Philip Freidin: Re: HELP: a Funny asynchronous input design
        133007: 08/06/13: Jim Granville: Re: HELP: a Funny asynchronous input design
            133023: 08/06/13: Philip Freidin: Re: HELP: a Funny asynchronous input design
                133027: 08/06/14: Jim Granville: Re: HELP: a Funny asynchronous input design
132257: 08/05/19: Jon Elson: bizarre state machine behavior
    132258: 08/05/19: Rob Gaddi: Re: bizarre state machine behavior
        132260: 08/05/19: Jeff Cunningham: Re: bizarre state machine behavior
            132289: 08/05/20: Jon Elson: Re: bizarre state machine behavior
                132291: 08/05/20: Mike Treseler: Re: bizarre state machine behavior
                132292: 08/05/21: Jim Granville: Re: bizarre state machine behavior
                    132317: 08/05/21: Jon Elson: Re: bizarre state machine behavior
                        132328: 08/05/21: KJ: Re: bizarre state machine behavior
                        132331: 08/05/22: Jim Granville: Re: bizarre state machine behavior
                132294: 08/05/21: Symon: Re: bizarre state machine behavior
                132296: 08/05/20: Jeff Cunningham: Re: bizarre state machine behavior
                    132318: 08/05/21: Jon Elson: Re: bizarre state machine behavior
    132261: 08/05/20: Symon: Re: bizarre state machine behavior
132263: 08/05/20: Andreas Ehliar: Re: synthesis...
    132275: 08/05/20: Andreas Ehliar: Re: synthesis...
132266: 08/05/19: akshat: V4 - VTRX & AVCCAUXRX
132267: 08/05/19: fazulu deen: synthesis...
    132276: 08/05/20: Kolja Sulimma: Re: synthesis...
    132279: 08/05/20: John_H: Re: synthesis...
        132311: 08/05/21: Andreas Ehliar: Re: synthesis...
            132329: 08/05/22: Andreas Ehliar: Re: synthesis...
    132312: 08/05/21: fazulu deen: Re: synthesis...
    132330: 08/05/21: fazulu deen: Re: synthesis...
132285: 08/05/20: jjlindula@hotmail.com: Instantiating an lpm dcfifo in Verilog
    132293: 08/05/20: jjlindula@hotmail.com: Re: Instantiating an lpm dcfifo in Verilog
132288: 08/05/20: From Sweden: How do I optimize filter coefficient bit length and signal bit length?
    132290: 08/05/20: robert bristow-johnson: Re: How do I optimize filter coefficient bit length and signal bit
132303: 08/05/21: Wojciech Zabolotny: timing constraint is impossible to meet
    132305: 08/05/21: Symon: Re: timing constraint is impossible to meet
        132306: 08/05/21: wzab: Re: timing constraint is impossible to meet
            132307: 08/05/21: Brian Drummond: Re: timing constraint is impossible to meet
                132334: 08/05/21: PatC: Re: timing constraint is impossible to meet
            132309: 08/05/21: Symon: Re: timing constraint is impossible to meet
            132336: 08/05/22: HT-Lab: Re: timing constraint is impossible to meet
                132344: 08/05/22: Rob Gaddi: Re: timing constraint is impossible to meet
                    132355: 08/05/23: Martin Thompson: Re: timing constraint is impossible to meet
                132345: 08/05/22: Jonathan Bromley: Re: timing constraint is impossible to meet
        132333: 08/05/21: vasu: Re: timing constraint is impossible to meet
        132403: 08/05/26: Gabor: Re: timing constraint is impossible to meet
132313: 08/05/21: Dan Arik: RS232 Interface
    132314: 08/05/21: Rob Gaddi: Re: RS232 Interface
    132323: 08/05/21: Jonathan Bromley: Re: RS232 Interface
    132324: 08/05/21: =?ISO-8859-1?Q?J=FCrgen_B=F6hm?=: Re: RS232 Interface
    134396: 08/08/08: Andrew Lohbihler: Re: RS232 Interface
        134397: 08/08/08: Gabor: Re: RS232 Interface
132315: 08/05/21: MikeWhy: Every newbie's favorite project: the Quadrature Rotary Encoder revisited
    132316: 08/05/21: austin: Re: Every newbie's favorite project: the Quadrature Rotary Encoder
        132325: 08/05/21: Eric Smith: Re: Every newbie's favorite project: the Quadrature Rotary Encoder revisited
            132326: 08/05/22: Frank Buss: Re: Every newbie's favorite project: the Quadrature Rotary Encoder revisited
                132327: 08/05/21: Eric Smith: Re: Every newbie's favorite project: the Quadrature Rotary Encoder revisited
                    132335: 08/05/22: MikeWhy: Re: Every newbie's favorite project: the Quadrature Rotary Encoder revisited
    132319: 08/05/21: Mike Treseler: Re: Every newbie's favorite project: the Quadrature Rotary Encoder
    132320: 08/05/21: Jon Elson: Re: Every newbie's favorite project: the Quadrature Rotary Encoder
    132322: 08/05/22: Jim Granville: Re: Every newbie's favorite project: the Quadrature Rotary Encoder
132337: 08/05/22: taco: problem with microblaze connected ip core
    132338: 08/05/22: taco: Re: problem with microblaze connected ip core
132340: 08/05/22: Kolja Sulimma: 1250gbps input on virtex-5
    132343: 08/05/22: austin: Re: 1250gbps input on virtex-5
    132350: 08/05/22: Peter Alfke: Re: 1250gbps input on virtex-5
        132356: 08/05/23: Symon: Re: 1250gbps input on virtex-5
    132354: 08/05/23: Kolja Sulimma: Re: 1250gbps input on virtex-5
    132373: 08/05/23: Peter Alfke: Re: 1250gbps input on virtex-5
    132401: 08/05/26: Kolja Sulimma: Re: 1250gbps input on virtex-5
132341: 08/05/22: Fred: Xilinx XCF Flash ROMs - does a datasheet for erase and programming
132342: 08/05/22: <jimmydunstan@yahoo.com>: ISE 10.1 FPGA Editor
132347: 08/05/22: Wojciech Zabolotny: Extended burst with ADNP with CY7C1386C/CY7C1387C
132348: 08/05/22: vijayant.rutgers@gmail.com: asic gate count
    132349: 08/05/22: Mike Lewis: Re: asic gate count
    132353: 08/05/22: glen herrmannsfeldt: Re: asic gate count
        132367: 08/05/23: Mike Lewis: Re: asic gate count
            132380: 08/05/24: glen herrmannsfeldt: Re: asic gate count
                132511: 08/05/29: Brian Drummond: Re: asic gate count
                132536: 08/05/30: backhus: Re: asic gate count
                    132543: 08/05/30: Mike Lewis: Re: asic gate count
                        132544: 08/05/30: Muzaffer Kal: Re: asic gate count
                        132609: 08/06/03: backhus: Re: asic gate count
    132369: 08/05/23: Jon Beniston: Re: asic gate count
    132480: 08/05/28: vijayant.rutgers@gmail.com: Re: asic gate count
    132499: 08/05/28: Thomas Stanka: Re: asic gate count
132351: 08/05/22: uche: globals
    132357: 08/05/23: Symon: Re: globals
        132377: 08/05/24: Symon: Re: globals
132352: 08/05/22: vikram: URGENT :problem using Ethernet MAC ip core...
    132358: 08/05/23: Symon: Re: URGENT :problem using Ethernet MAC ip core...
132359: 08/05/23: Philipp Hachtmann: Software instabilities with EDK 10.01 and PPC405?!??!!!
    132374: 08/05/23: Alan Nishioka: Re: Software instabilities with EDK 10.01 and PPC405?!??!!!
        132382: 08/05/24: Philipp Hachtmann: Re: Software instabilities with EDK 10.01 and PPC405?!??!!!
    132383: 08/05/24: John McCaskill: Re: Software instabilities with EDK 10.01 and PPC405?!??!!!
        132399: 08/05/26: Philipp Hachtmann: Re: Software instabilities with EDK 10.01 and PPC405?!??!!!
            132487: 08/05/29: Philipp Hachtmann: Re: Software instabilities with EDK 10.01 and PPC405?!??!!!
132361: 08/05/23: Charles Wagner: Avalon interconnect fabric : arbiter
132362: 08/05/23: Dan Arik: Simple PRNG problem -> clk not recognised as input
    132363: 08/05/23: Dan Arik: Re: Simple PRNG problem -> clk not recognised as input
        132364: 08/05/23: Dan Arik: Re: Simple PRNG problem -> clk not recognised as input
    132370: 08/05/23: Rob Gaddi: Re: Simple PRNG problem -> clk not recognised as input
132365: 08/05/23: fmostafa: HWICAP and BRAM
132366: 08/05/23: water9580@yahoo.com: it doesn't work if increase a little traffic for DMA read.
    132371: 08/05/23: Rob Gaddi: Re: it doesn't work if increase a little traffic for DMA read.
    132376: 08/05/23: water9580@yahoo.com: Re: it doesn't work if increase a little traffic for DMA read.
132372: 08/05/23: mozilla: Xilinx EDK inferred dual port BRAM unconnected clkb
132375: 08/05/23: Hua: incremental compilation
132378: 08/05/23: <cherin99@gmail.com>: FPGA Programing file
    132395: 08/05/25: <cherin99@gmail.com>: Re: FPGA Programing file
        132398: 08/05/26: Uwe Bonnes: Re: FPGA Programing file
    132396: 08/05/25: rickman: Re: FPGA Programing file
    132397: 08/05/25: fazulu deen: Re: FPGA Programing file
    132413: 08/05/26: David Spencer: Re: FPGA Programing file
132379: 08/05/24: Narendra Sisodiya: Video stream over bluetooth
    132391: 08/05/25: Narendra Sisodiya: Re: Video stream over bluetooth
    132443: 08/05/27: Mike Treseler: Re: Video stream over bluetooth
    132449: 08/05/27: Narendra Sisodiya: Re: Video stream over bluetooth
132381: 08/05/24: ratemonotonic: Microblaze Cache and FSL problem
132384: 08/05/24: beky4kr@gmail.com: CRC7 Input bits in Command and Response
132386: 08/05/24: krw: Xilinx LogicCore Direct Instantiation
    132447: 08/05/27: Rob Gaddi: Re: Xilinx LogicCore Direct Instantiation
        132489: 08/05/28: krw: Re: Xilinx LogicCore Direct Instantiation
            132512: 08/05/29: Brian Drummond: Re: Xilinx LogicCore Direct Instantiation
                132533: 08/05/29: krw: Re: Xilinx LogicCore Direct Instantiation
132387: 08/05/24: fl: Why this RLOC cannot be used two times?
132388: 08/05/25: Scutum612: XST 3.0 Xess Audio to Ethernet
132389: 08/05/25: <raghunandan85@gmail.com>: EDK 10.1 Map Error
    132406: 08/05/26: morphiend: Re: EDK 10.1 Map Error
    132432: 08/05/27: <raghunandan85@gmail.com>: Re: EDK 10.1 Map Error
    132440: 08/05/27: morphiend: Re: EDK 10.1 Map Error
    132473: 08/05/28: <raghunandan85@gmail.com>: Re: EDK 10.1 Map Error
132392: 08/05/25: M.Randelzhofer: New Xilinx device package options for S3E & S3A
    132393: 08/05/25: rickman: Re: New Xilinx device package options for S3E & S3A
        132555: 08/05/31: Antti: Re: New Xilinx device package options for S3E & S3A
132400: 08/05/26: bish: using EXP connector of Spartan 3a board
    132426: 08/05/27: MikeWhy: Re: using EXP connector of Spartan 3a board
    132454: 08/05/27: Bryan: Re: using EXP connector of Spartan 3a board
    132523: 08/05/29: bish: Re: using EXP connector of Spartan 3a board
132402: 08/05/26: axalay: Problem when for program and data memory use SDRAM
    132404: 08/05/26: axalay: Re: Problem when for program and data memory use SDRAM
132405: 08/05/26: Zorjak: XILINX core generator question
    132433: 08/05/27: <raghunandan85@gmail.com>: Re: XILINX core generator question
        132460: 08/05/28: MM: Re: XILINX core generator question
    132442: 08/05/27: Zorjak: Re: XILINX core generator question
    132462: 08/05/27: Zorjak: Re: XILINX core generator question
132407: 08/05/26: R. Hofman: How to update a row and a column at the same clock cycle?
    132415: 08/05/26: Nicolas Matringe: Re: How to update a row and a column at the same clock cycle?
        132424: 08/05/26: rickman: Re: How to update a row and a column at the same clock cycle?
132408: 08/05/26: PFC: Xilinx XCL woes
    132411: 08/05/26: PFC: Re: Xilinx XCL woes
132409: 08/05/26: Hua: Incremental compilation problem
    132418: 08/05/26: <gquan@altera.com>: Re: Incremental compilation problem
    132445: 08/05/27: Hua: Re: Incremental compilation problem
132410: 08/05/26: Florian: Downloading external data file to FPGA
    132412: 08/05/26: Enes Erdin: Re: Downloading external data file to FPGA
        132414: 08/05/26: Florian: Re: Downloading external data file to FPGA
        132416: 08/05/26: Enes Erdin: Re: Downloading external data file to FPGA
    132417: 08/05/26: <sijo2000@googlemail.com>: Re: Downloading external data file to FPGA
        132430: 08/05/27: Moazzam: Re: Downloading external data file to FPGA
        132456: 08/05/27: glen herrmannsfeldt: Re: Downloading external data file to FPGA
    132448: 08/05/27: Fei Liu: Re: Downloading external data file to FPGA
    132451: 08/05/27: Mike Treseler: Re: Downloading external data file to FPGA
132419: 08/05/26: kislo: Xilinx IO drive level constrain
    132420: 08/05/27: Symon: Re: Xilinx IO drive level constrain
        132439: 08/05/27: Symon: Re: Xilinx IO drive level constrain
    132435: 08/05/27: kislo: Re: Xilinx IO drive level constrain
132421: 08/05/26: <martstev@gmail.com>: signal value at power up
    132422: 08/05/26: Thomas Stanka: Re: signal value at power up
    132423: 08/05/26: <martstev@gmail.com>: Re: signal value at power up
    132425: 08/05/26: rickman: Re: signal value at power up
    132427: 08/05/27: Goli: Re: signal value at power up
    132428: 08/05/27: Kolja Sulimma: Re: signal value at power up
    132461: 08/05/27: Thomas Stanka: Re: signal value at power up
    132475: 08/05/28: KJ: Re: signal value at power up
132429: 08/05/27: dajjou: impact / encrypted bitstream
    132431: 08/05/27: dajjou: Re: impact / encrypted bitstream
    132441: 08/05/27: morphiend: Re: impact / encrypted bitstream
    132446: 08/05/27: dajjou: Re: impact / encrypted bitstream
    132465: 08/05/28: dajjou: Re: impact / encrypted bitstream
132434: 08/05/27: Pablo: Ph.D Student
    132459: 08/05/28: Andreas Ehliar: Re: Ph.D Student
    132463: 08/05/28: Pablo H: Re: Ph.D Student
    132505: 08/05/29: Pablo: Re: Ph.D Student
132436: 08/05/27: fazulu deen: FIR filter o/p width
    132437: 08/05/27: Jonathan Bromley: Re: FIR filter o/p width
132438: 08/05/27: fmostafa: HWICAP initialization
    132573: 08/06/01: Atukem: Re: HWICAP initialization
    133665: 08/07/09: <lixia.rem@gmail.com>: Re: HWICAP initialization
    134177: 08/07/29: fmostafa: Re: HWICAP initialization
132450: 08/05/28: Jim Granville: Mathstar plans to discontinue FPOA development
    132452: 08/05/27: austin: 'Nother one bites the dust
        132453: 08/05/27: austin: Re: 'Nother one bites the dust
            132455: 08/05/28: Jim Granville: Re: 'Nother one bites the dust
    132457: 08/05/27: Mike Treseler: Re: Mathstar plans to discontinue FPOA development
132458: 08/05/27: Eka: Need comparison table about Xilinx ISE WebPack 10.1i vs ISE
    132469: 08/05/28: John Adair: Re: Need comparison table about Xilinx ISE WebPack 10.1i vs ISE
        132570: 08/05/31: Roger: Re: Need comparison table about Xilinx ISE WebPack 10.1i vs ISE Foundation 10.1i
132464: 08/05/28: <jidan1@hotmail.com>: JTAG + PROM error!
    132673: 08/06/05: <jidan1@hotmail.com>: Re: JTAG + PROM error!
        132772: 08/06/06: PFC: Re: JTAG + PROM error!
        132774: 08/06/06: PFC: Re: JTAG + PROM error!
    132687: 08/06/05: Gabor: Re: JTAG + PROM error!
    132771: 08/06/06: <jidan1@hotmail.com>: Re: JTAG + PROM error!
    132777: 08/06/06: <jidan1@hotmail.com>: Re: JTAG + PROM error!
    132778: 08/06/06: <jidan1@hotmail.com>: Re: JTAG + PROM error!
132466: 08/05/28: MikeWhy: Sequentially syncrhronous
    132468: 08/05/28: KJ: Re: Sequentially syncrhronous
        132474: 08/05/28: Brian Philofsky: Re: Sequentially syncrhronous
            132502: 08/05/29: MikeWhy: Re: Sequentially syncrhronous
        132476: 08/05/28: KJ: Re: Sequentially syncrhronous
        132478: 08/05/28: MikeWhy: Re: Sequentially syncrhronous
            132490: 08/05/29: Jim Granville: Re: Sequentially syncrhronous
            132493: 08/05/28: KJ: Re: Sequentially syncrhronous
        132494: 08/05/28: rickman: Re: Sequentially syncrhronous
    132470: 08/05/28: Mike Treseler: Re: Sequentially syncrhronous
        132492: 08/05/29: Jim Granville: Re: Sequentially syncrhronous
    132472: 08/05/28: rickman: Re: Sequentially syncrhronous
        132482: 08/05/28: MikeWhy: Re: Sequentially syncrhronous
            132486: 08/05/28: KJ: Re: Sequentially syncrhronous
            132488: 08/05/28: MikeWhy: Re: Sequentially syncrhronous
            132491: 08/05/29: Jim Granville: Re: Sequentially syncrhronous
                132504: 08/05/29: MikeWhy: Re: Sequentially syncrhronous
        132484: 08/05/28: Peter Alfke: Re: Sequentially syncrhronous
        132495: 08/05/28: rickman: Re: Sequentially syncrhronous
        132497: 08/05/28: rickman: Re: Sequentially syncrhronous
132467: 08/05/28: fatfpga@googlemail.com: error when 'generating simulation hdl files' in xilinx xps
    132513: 08/05/29: Brian Drummond: Re: error when 'generating simulation hdl files' in xilinx xps
    132571: 08/05/31: fatfpga@googlemail.com: Re: error when 'generating simulation hdl files' in xilinx xps
    132948: 08/06/11: fatfpga@googlemail.com: Re: error when 'generating simulation hdl files' in xilinx xps
132471: 08/05/28: rmeiche: Virtex 2 with PLB_v34 and EDK 10.1
    132503: 08/05/29: Markus: Re: Virtex 2 with PLB_v34 and EDK 10.1
    132903: 08/06/10: rmeiche: Re: Virtex 2 with PLB_v34 and EDK 10.1
132477: 08/05/28: <jared.pierce@gmail.com>: HDL - simulation vs synthesis
    132479: 08/05/28: Jon Beniston: Re: HDL - simulation vs synthesis
    132481: 08/05/28: Mike Treseler: Re: HDL - simulation vs synthesis
        132501: 08/05/29: backhus: Re: HDL - simulation vs synthesis
    132496: 08/05/28: <jared.pierce@gmail.com>: Re: HDL - simulation vs synthesis
132483: 08/05/28: Erik Anderson: FIFO verses RAMB
    132485: 08/05/28: John_H: Re: FIFO verses RAMB
132498: 08/05/28: rickman: Are FPGAs headed toward a coarse granularity?
    132500: 08/05/29: Jim Granville: Re: Are FPGAs headed toward a coarse granularity?
        132518: 08/05/29: David Brown: Re: Are FPGAs headed toward a coarse granularity?
            132538: 08/05/30: David Brown: Re: Are FPGAs headed toward a coarse granularity?
        132604: 08/06/03: Kim Enkovaara: Re: Are FPGAs headed toward a coarse granularity?
    132515: 08/05/29: Kolja Sulimma: Re: Are FPGAs headed toward a coarse granularity?
    132520: 08/05/29: Kolja Sulimma: Re: Are FPGAs headed toward a coarse granularity?
    132522: 08/05/29: Peter Alfke: Re: Are FPGAs headed toward a coarse granularity?
    132546: 08/05/30: Kolja Sulimma: Re: Are FPGAs headed toward a coarse granularity?
    132597: 08/06/02: rickman: Re: Are FPGAs headed toward a coarse granularity?
132506: 08/05/29: Grant Stockly: Xilinx Clock Doubler
    132508: 08/05/29: Grant Stockly: Re: Xilinx Clock Doubler
        132509: 08/05/29: Symon: Re: Xilinx Clock Doubler
            132529: 08/05/30: Symon: Re: Xilinx Clock Doubler
    132521: 08/05/29: Peter Alfke: Re: Xilinx Clock Doubler
        132524: 08/05/29: Eric Smith: Re: Xilinx Clock Doubler
            132531: 08/05/30: Symon: Re: Xilinx Clock Doubler
        132532: 08/05/30: Symon: Re: Xilinx Clock Doubler
            132535: 08/05/30: backhus: Re: Xilinx Clock Doubler
            132547: 08/05/30: mk: Re: Xilinx Clock Doubler
                132552: 08/05/31: Symon: Re: Xilinx Clock Doubler
    132525: 08/05/29: Grant Stockly: Re: Xilinx Clock Doubler
    132530: 08/05/29: Grant Stockly: Re: Xilinx Clock Doubler
    133229: 08/06/21: Sandro: Re: Xilinx Clock Doubler
132507: 08/05/29: Ankit: RGB video panel
    132514: 08/05/29: Brian Drummond: Re: RGB video panel
132510: 08/05/29: fazulu deen: FIR in FPGA
    132516: 08/05/29: Jonathan Bromley: Re: FIR in FPGA
        132519: 08/05/29: Jonathan Bromley: Re: FIR in FPGA
    132517: 08/05/29: fazulu deen: Re: FIR in FPGA
132537: 08/05/30: Enes Erdin: dual port ramb16 problem
132541: 08/05/30: Hua: DATA0 pin in Cyclone III device
    132549: 08/05/30: <ghelbig@lycos.com>: Re: DATA0 pin in Cyclone III device
132542: 08/05/30: Barry: Can I make ISE 9.2 ngdbuild stop generating new PERIOD specs on PLL
    132545: 08/05/30: Kevin Neilson: Re: Can I make ISE 9.2 ngdbuild stop generating new PERIOD specs
        132553: 08/05/30: jtw: Re: Can I make ISE 9.2 ngdbuild stop generating new PERIOD specs on PLL outputs?
    132548: 08/05/30: Barry: Re: Can I make ISE 9.2 ngdbuild stop generating new PERIOD specs on
    132566: 08/05/31: Barry: Re: Can I make ISE 9.2 ngdbuild stop generating new PERIOD specs on
132554: 08/05/31: fazulu deen: cutoff frequency
    132556: 08/05/31: Icky Thwacket: Re: cutoff frequency
        132557: 08/05/31: Jonathan Bromley: Re: cutoff frequency
            132559: 08/05/31: Icky Thwacket: Re: cutoff frequency
                132561: 08/05/31: Frank Buss: Re: cutoff frequency
                    132563: 08/05/31: Frank Buss: Re: cutoff frequency
                    132565: 08/05/31: KJ: Re: cutoff frequency
            132560: 08/05/31: Icky Thwacket: Re: cutoff frequency
            132569: 08/05/31: MikeWhy: Re: cutoff frequency
                132574: 08/06/01: MikeWhy: Re: cutoff frequency
    132558: 08/05/31: fazulu deen: Re: cutoff frequency
    132562: 08/05/31: fazulu deen: Re: cutoff frequency
    132567: 08/05/31: Mike Treseler: Re: cutoff frequency
    132568: 08/05/31: John_H: Re: cutoff frequency
    132572: 08/06/01: fazulu deen: Re: cutoff frequency
132564: 08/05/31: <discussions@fpga.usenet>: xilinx and jtag
    132588: 08/06/02: Charles Xavier: Re: xilinx and jtag
    132589: 08/06/02: John_H: Re: xilinx and jtag
        132619: 08/06/03: <discussions@fpga.usenet>: Re: xilinx and jtag
            132621: 08/06/03: Ed McGettigan: Re: xilinx and jtag
                132754: 08/06/06: Eric Smith: Re: xilinx and jtag
                    132756: 08/06/06: Uwe Bonnes: Re: xilinx and jtag
                        132764: 08/06/06: Jim Granville: Re: xilinx and jtag
        132622: 08/06/03: John_H: Re: xilinx and jtag
        132633: 08/06/04: Dave Pollum: Re: xilinx and jtag


Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search