Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 19150

Article: 19150
Subject: Tristate bidirectional pads with Xilinx
From: Davide Falchieri <davide.falchieri@bo.infn.it>
Date: Thu, 02 Dec 1999 16:48:18 +0100
Links: << >>  << T >>  << A >>
Hello,
   I have some problems with two Xilinx FPGA's 4025E-4. 
I am trying to implement tristate bidirectional pads since, on the same
pins, I have to receive commands and to send data out. 
The VHDL description and the output schematic obtained using Synopsys
seem fine, but when I download the bit file on the Xilinxs nothing works
as it should. Do you think something goes wrong during the place and
route with Alliance ?
Now I've even been told that Xilinx doesn't provide tristate
bidirectional pads at all: does anyone know if it is true ?

Thank you very much for your help.

Regards,
    Davide


_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/
                                                      
                      Davide Falchieri                
           Physics Department, Bologna University     
        40127,Viale Berti Pichat 6/2, Bologna(ITALY)      
          TEL:+39-051-2095077, FAX:+39-051-2095297          
           URL:http://sunvlsi4.bo.infn.it/~davide     
            mailto:davide.falchieri@bo.infn.it     
                                                      
_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/_/
Article: 19151
Subject: Question to synplicity users and other not Leonardo users,
From: Bonio Lopez <bonio.lopezNOboSPAM@gmx.ch.invalid>
Date: Thu, 02 Dec 1999 08:12:21 -0800
Links: << >>  << T >>  << A >>
Hi,
I still  have the problem that Leonardo Spectrum  transfer my async
latches to sync latches in spite of
the fact, that Virtex have async latches in storage elements.
How is it by synplicity.
As example I send the VHDL you could try to synthesize
> > library ieee; use ieee.std_logic_1164.all;
> >
> > entity  LT  is
> > port (o : out std_logic ;
> >        r : in std_logic ;
> >       s : in std_logic );
> > end;
> >
> > architecture  LT  of  LT  is
> > begin
> > process (R,S)
> >
> > begin
> >   if  R='0' then o <='0';
> >       else if S='0' then o <='1';
> >             end if;
> >    end if;
> >
> > end process;
> > end;


* Sent from RemarQ http://www.remarq.com The Internet's Discussion Network *
The fastest and easiest way to search and participate in Usenet - Free!

Article: 19152
Subject: Re: Tristate bidirectional pads with Xilinx
From: "Andy Peters" <apeters.Nospam@nospam.noao.edu.nospam>
Date: Thu, 2 Dec 1999 10:25:29 -0700
Links: << >>  << T >>  << A >>
Davide Falchieri wrote in message <384694C2.41C67EA6@bo.infn.it>...
>Hello,
>   I have some problems with two Xilinx FPGA's 4025E-4.
>I am trying to implement tristate bidirectional pads since, on the same
>pins, I have to receive commands and to send data out.
>The VHDL description and the output schematic obtained using Synopsys
>seem fine, but when I download the bit file on the Xilinxs nothing works
>as it should. Do you think something goes wrong during the place and
>route with Alliance ?
>Now I've even been told that Xilinx doesn't provide tristate
>bidirectional pads at all: does anyone know if it is true ?


Please post your VHDL.  The Xilinx 4KE series certainly does provide
bidirectional pads - my last three designs using those parts used them!


-- a
-----------------------------------------
Andy Peters
Sr Electrical Engineer
National Optical Astronomy Observatories
950 N Cherry Ave
Tucson, AZ 85719
apeters (at) noao \dot\ edu

The secret of Slurm is on a need-to-know basis.



Article: 19153
Subject: Re: Command line for FPGA Express
From: "Andy Peters" <apeters.Nospam@nospam.noao.edu.nospam>
Date: Thu, 2 Dec 1999 10:28:56 -0700
Links: << >>  << T >>  << A >>
Mark van de Belt wrote in message <944124247.568813@big.hacom.nl>...
>Hello,
>
>Is there a possibility to use a command line option for FPGA express for
>checking, synthesis and optimalisation?
>I presume that Xilinx foundation also calls FPGA express with a command
line
>option.

Yep, at least with the M2.1i tools and FE v3.3.  Look in your start menu
under Programs | Synopsys (or programs | Xilinx Foundation Series, which is
where I put it) and you'll see an item for the FPGA Express Shell.  I'm not
sure where it lives on a Unix box.


--
-----------------------------------------
Andy Peters
Sr Electrical Engineer
National Optical Astronomy Observatories
950 N Cherry Ave
Tucson, AZ 85719
apeters (at) noao \dot\ edu

The secret of Slurm is on a need-to-know basis.



Article: 19154
Subject: Virtex and JTAG configuration
From: Nicolas Matringe <nicolas@dotcom.fr>
Date: Thu, 02 Dec 1999 18:59:24 +0100
Links: << >>  << T >>  << A >>
Hi all
I have a problem with my Virtex JTAG... I have several error messages:
- Checking boundary-scan chain integrity...ERROR:JTag - Boundary-scan
chain test failed at bit position '3' on instance 'dcmproto(Device1)'.
- ERROR:JTag - Boundary scan chain has been improperly specified
- ERROR:JTag - : The boundary-scan chain has not been declared correctly

The device is an XCV100-PQ240. Among the possible error sources is a bad
.bsd file. Has anyone experienced JTAG configuration of this device and
encountered the same problem ?
(I'll check the PCB too...)

Thanks in advance

Nicolas MATRINGE           DotCom S.A.
Conception electronique    16 rue du Moulin des Bruyeres
Tel 00 33 1 46 67 51 11    92400 COURBEVOIE
Fax 00 33 1 46 67 51 01    FRANCE
Article: 19155
Subject: Connection of light diode and FPGA
From: Bonio Lopez <bonio.lopezNOboSPAM@gmx.ch.invalid>
Date: Thu, 02 Dec 1999 10:13:20 -0800
Links: << >>  << T >>  << A >>
Hi,
A simple question:
I want to connect one of pins of Virtex to Light diode.
1.It must radiate by "1".
So I have to connect serially GND-300 Ohm resistor - diode -signal pin.
2.It must radiate by "0".
So I have to connect serially Vcc-300 Ohm resistor - diode -signal pin.


(I am not sure with current value)

Am I right?



* Sent from RemarQ http://www.remarq.com The Internet's Discussion Network *
The fastest and easiest way to search and participate in Usenet - Free!

Article: 19156
Subject: Re: Connection of light diode and FPGA
From: "Jamie Sanderson" <jamie@nortelnetworks.com>
Date: Thu, 2 Dec 1999 14:24:48 -0500
Links: << >>  << T >>  << A >>
I suppose this question is FPGA related...

Before you decide to connect a diode to a signal pin, find out how much
current it requires to be sufficiently illuminated. I believe that by
default, the Virtex pins only sink or source 12 mA. This can be increased to
24 mA (maybe more). However, you may not want to go that route, especially
if you're hooking up many diodes. You may be better off putting a simple
buffer between the FPGA and the diode because it will likely have more
robust I/O.

As for your question, you have the right idea. However, the appropriate
value for the resistor will depend on the specs for the diode. You'll want
to find out the voltage drop across the diode when it's turned on, as well
as the required illumination current I mentioned earlier. You can figure out
the required resistor value from that. It could very well be less than 300
Ohms, especially if VCC is 3.3 volts or less.

Cheers,
Jamie

Bonio Lopez <bonio.lopezNOboSPAM@gmx.ch.invalid> wrote in message
news:065f8722.30014cf0@usw-ex0101-004.remarq.com...
> Hi,
> A simple question:
> I want to connect one of pins of Virtex to Light diode.
> 1.It must radiate by "1".
> So I have to connect serially GND-300 Ohm resistor - diode -signal pin.
> 2.It must radiate by "0".
> So I have to connect serially Vcc-300 Ohm resistor - diode -signal pin.
>
>
> (I am not sure with current value)
>
> Am I right?



Article: 19157
Subject: Re: Tristate bidirectional pads with Xilinx
From: "Jamie Sanderson" <jamie@nortelnetworks.com>
Date: Thu, 2 Dec 1999 14:29:56 -0500
Links: << >>  << T >>  << A >>
Having a tri-state bi-directional signals implies a control signal or
signals which tell the I/O when to tri-state, when to drive, and when to
read. Usually the latter two are mutually exclusive. The most likely culprit
is that the polarity of a control signal isn't correct. That's easy to do
since everyone has different ideas about whether these signals should be
active high or active low.

Cheers,
Jamie

Davide Falchieri <davide.falchieri@bo.infn.it> wrote in message
news:384694C2.41C67EA6@bo.infn.it...
> Hello,
>    I have some problems with two Xilinx FPGA's 4025E-4.
> I am trying to implement tristate bidirectional pads since, on the same
> pins, I have to receive commands and to send data out.
> The VHDL description and the output schematic obtained using Synopsys
> seem fine, but when I download the bit file on the Xilinxs nothing works
> as it should. Do you think something goes wrong during the place and
> route with Alliance ?
> Now I've even been told that Xilinx doesn't provide tristate
> bidirectional pads at all: does anyone know if it is true ?
>
> Thank you very much for your help.
>
> Regards,
>     Davide



Article: 19158
Subject: CAN testing - Any CANbus cores out there?
From: Jonathan Bromley <jonathan@oxfordbromley.u-net.com>
Date: Thu, 02 Dec 1999 20:53:10 +0000
Links: << >>  << T >>  << A >>
"Raymond E. Rogers" wrote:
> > >   I am organizing a CAN-2.0B testing procedure.
<snip my suggestion>
> This is certainly feasable and has some advantages
<snip>
>  OTOH, it
> is not as general and synchronization with the MAC layer would have to
> be resolved.
 
Yes indeed. - hence my comment about fooling the Rx.
 
It occurs to me to ask whether anyone out there has a 
synthesisable VHDL core for a CAN controller.  It clearly 
wouldn't be cost competitive with commodity CAN parts
for production, but it sure as hell would make this kind
of diagnostic and special-purpose stuff far easier if you
had a working controller core to start from that you could
modify in an arbitrary way.
 
I would be **very** interested in getting involved in
any public-domain effort to realise this goal, as I
have upcoming projects for which it would be rather
useful.
 
I've posted this also to comp.arch.fpga and comp.lang.vhdl
(from sci.electronics.design) in the hope of exciting some 
interest.
 
Jonathan Bromley
Article: 19159
Subject: Re: backup fifo's
From: Eric Crabill <crabill@xilinx.com>
Date: Thu, 02 Dec 1999 12:55:22 -0800
Links: << >>  << T >>  << A >>
Hi Bruce,

No, this problem still exists.  If you are bus mastering a write,
there are several ways the transaction can end.  One of these
is disconnect without data by the target.  In such a case, you
(the bus master) will have popped data out of the FIFO, and
it will be sitting in the I/O output flip flops.  At this point, if the
target disconnects without taking it, your FIFO is out of
sync.

This behavior is described in section 3.3.3.2.1 "Target
Termination Signaling Rules" of the PCI 2.2 specification.

Also keep in mind that this is only an issue if your data source
is not prefetchable.  If the data source is prefetchable and you
are using the FIFO as a rate matching buffer, you can simply
flush the buffer when you are done.

Incidentally, backing up a FIFO built from RAM and address
pointers (counters) is very easy; you just have to use an up/down
counter for the read address pointer.

Thanks,
Eric Crabill

Bruce Nepple wrote:

> Assuming that I am bus mastering a write....Isn't the problem with the fifo
> being out of sync at the end of a transaction eliminated by the fact that
> the transaction can only be terminated by a stop (unless I end it), which
> will take the data just saved in the hidden register?  It's hard for me to
> see how (if I am writing) I can be out of sync after the transaction
> completes.
>
> Bruce

Article: 19160
Subject: <!-- To use a different cobrand, make sure you have a template for it in /parts/cobrand/ -->
From: SMiOUxrH <SMiOUxrH@UWlMRxgP.comNOSPAM>
Date: 2 Dec 1999 21:03:21 GMT
Links: << >>  << T >>  << A >>






<!-- Get Specific Variables for cobrand -->
<!-- YESs and NOs are CaSe SeNsItIvE... Always use ALL CAPS for them! -->

    

   


<!-- EXTRA_CODE_AFTER_TOP/BOTTOM are typically used for consistent
	 identification or used for "floating" nav bars. If you say YES
	 make sure you hare corresponding code in /parts/cobrand/ -->



<!-- What Modules to use --->







    










<HTML>
<HEAD>

<TITLE>XOOM.it Servizi Iscritti: root</TITLE>
<META name="resource-type" content=document>
<META name="description" content="XOOM.COM Get your web space free! Get Chat! See Movies! Cool Stuff all for Free!">
<META name="keywords" content="XOOM FREE CHAT WEB SPACE MOVIES EMAIL WEB CLIP ART HTML CLIPART CARDS DOWNLOADS">
<META name=distribution content=global>
</HEAD>

<BODY BGCOLOR="#FFFFFF" BACKGROUND="http://media.xoom.it/new/Background.gif" TEXT="#000000" LINK="#0000FF" VLINK="#0000FF" ALINK="#FF0000">

<!-- Top Part Table -->


<TABLE border=0 cellPadding=0 cellSpacing=0 width=600>
  <TBODY>
  <TR>
    <TD background="http://media.xoom.it/new/Left_Background.gif" vAlign=top wid
th=111>
        <A href="http://www.xoom.it">
        <IMG border=0 height=113 hspace=0 src="http://media.xoom.it/new/logo_corner.gif" width=111>
        </A>
      <TABLE background="http://media.xoom.it/new/spacer.gif" border=0 cellPaddi
ng=0 cellSpacing=0 width=111>
        <TBODY>
        <TR>
          <TD align=middle vAlign=top>
            <TABLE border=0 cellPadding=0 cellSpacing=0 width=90>
              <TBODY>
              <TR>
                <TD colSpan=3>
                    <MAP name=helpmap><AREA coords=2,18,87,31
                    href="http://www.xoom.it/helpcenter/newmember"
                    shape=RECT><AREA coords=2,34,87,47
                    href="http://www.xoom.it/helpcenter/technical"
                    shape=RECT><AREA coords=2,50,87,63
                    href="http://www.xoom.it/helpcenter/chatguide"
                    shape=RECT><AREA coords=2,66,87,79
                    href="/MemberServices/epb/epbfaq.html" shape=RECT><AREA
                    coords=2,82,87,95 href="/www.xoom.it/helpcenter/tutorial"
                    shape=RECT>
                                        </MAP>
<br>
                                        <IMG border=0 src="http://media.xoom.it/services/help.gif" useMap=#helpmap width=90 height=97>
                                        </TD>
                                </TR>
                        </TBODY>
                </TABLE>


<!-- *** HOTSPOT *** -->
<!--< include ("/usr/WWW/parts/hotspot.html");>-->


<!---- inizio RISORSE ---->
<br>
                        <IMG alt="" border=0
            height=161 hspace=0 src="http://media.xoom.it/new/resources.gif"
            useMap=#RESOURCES width=90>
<MAP name=RESOURCES><AREA alt=""
              coords=1,17,88,32
                href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.xoom.it/dizionario&title=ris"
              shape=RECT><AREA alt="" coords=1,34,88,48
              href="http://www.xoom.it/chatroom/xoom" 
              shape=RECT><AREA alt="" coords=2,50,88,65
              href="/products/"
              shape=RECT><AREA alt="" coords=2,66,88,80
              href="/helpcenter/"
              shape=RECT><AREA alt="" coords=2,82,88,95
              href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://cartoline.xoom.it/xigo" shape=RECT><AREA alt="" coords=2,97,88,111
              href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.xoom.it/classicmovies"
              shape=RECT><AREA alt="" coords=2,113,88,126
              href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.tuttogratis.com/cgi/entra.cgi&title=ris"    
              shape=RECT><AREA alt="" coords=2,129,88,141
              href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.pronto.i
t"            
              shape=RECT><AREA alt="" coords=2,145,88,157
              href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://italia.qxl.c
om/cgi-bin/qxl/link.cgi%3FURL=%26ID=xoom&title=ris1"
              shape=RECT></MAP>
<!--- fine RISORSE --->

<!---- inizio RISORSE ---->
<br><br>
                        <IMG alt="" border=0
            hspace=0 src="http://media.xoom.it/services/altriservizi.gif"
            useMap=#altrimap width=90> <MAP name=altrimap><AREA alt=""
              coords=1,17,88,32
              href="http://www.xoom.it/clipart"
              shape=RECT><AREA alt="" coords=1,34,88,48
              href="http://www.xoom.it/downloads"
              shape=RECT>
	    </MAP>
<!--- fine RISORSE --->



</TD></TR></TBODY></TABLE></TD>

        <TD vAlign=top width=489>
        <!--- inizio LATO DESTRO --->      <TABLE BORDER=0 CELLPADDING=0 CELLSPACING=0 WIDTH=489><TR>
<TD HEIGHT=2 BGCOLOR=#000000 COLSPAN=2 WIDTH=489><SPACER TYPE="BLOCK" WIDTH=1 HE
IGHT=2></TD></TR>
</TABLE>
<TABLE BORDER=0 CELLPADDING=0 CELLSPACING=0 WIDTH=489 bgcolor=#ffcc99><TR>
<!-- Inizio banner -->
<STYLE TYPE=text/css>
<!--
xoom8 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:7pt;}
xoom9 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:8pt;}
xoom10 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:8pt;}
xoom12 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:10pt; }
xoom14 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:12pt; }
xoom16 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:14pt; }
xoomhead {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:14pt; font-weight:bold; }
xoomcomhead {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:10pt; font-weight:bold;}
xoomcomsubhead {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:9pt; margin-left:4pt; }
-->
</STYLE>


<TD bgcolor=#FFCC66 align=center WIDTH=487>

<table border=0><tr><td height=4 nowrap>
</td></tr></table>

<IFRAME SRC="http://ad.it.doubleclick.net/adi/xoom.it/membersarea;area=membersarea;sz=468x60;ord=0313?" WIDTH=468 HEIGHT=60 MARGINWIDTH=0 MARGINHEIGHT=0 HSPACE=0 VSPACE=0 FRAMEBORDER=0 SCROLLING=no BORDERCOLOR="#FFCC66">
<SCRIPT language="JavaScript1.1" SRC="http://ad.it.doubleclick.net/adj/xoom.it/membersarea;area=membersarea;abr=!ie;sz=468x60;ord=0313?">
</SCRIPT>
<NOSCRIPT>
<A HREF="http://ad.it.doubleclick.net/jump/xoom.it/membersarea;area=membersarea;abr=!ie4;abr=!ie5;sz=468x60;ord=0313?">
<IMG SRC="http://ad.it.doubleclick.net/ad/xoom.it/membersarea;area=membersarea;abr=!ie4;abr=!ie5;sz=468x60;ord=0313?" BORDER=0 WIDTH=468 HEIGHT=60>
</A>
</NOSCRIPT>
</IFRAME>


<CENTER><IMG SRC="http://media.xoom.it/NovLook/spacer.gif" HEIGHT=3 WIDTH=468><BR>
<IMG SRC="http://media.xoom.it/blackline.gif" HEIGHT=1 WIDTH=468><BR>
    
<TABLE BORDER=0 CELLPADDING=0 CELLSPACING=0 WIDTH=100%>
		<TR>
			<TD COLSPAN=4><IMG SRC="http://media.xoom.it/new/clear.gif" WIDTH="487" HEIGHT="4" HSPACE="0" VSPACE="0"><BR></TD>
		</TR>

		<TR>

<!-- Tastino 1 -->
<TD VALIGN=TOP ALIGN=MIDDLE WIDTH=25%><A HREF="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.webcast.it/xoom_partner.htm" TARGET=_top><IMG SRC="http://media.xoom.it/bottoni/topmp3.gif" BORDER=1 WIDTH=88 HEIGHT=31></A><BR>
<FONT FACE="Verdana,Arial,HELVETICA" SIZE=1><SPAN CLASS=X9><B>GUIDA MP3</B></SPAN></FONT></TD>

<!-- Tastino 2 -->
<TD VALIGN=TOP ALIGN=MIDDLE WIDTH=25%><A HREF="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.vnss.net/index.asp%3Fi=XOOM%26m=button&title=button" TARGET=_top><IMG SRC="http://media.xoom.it/Banners/vittoria/button_vnss.gif" BORDER=1 WIDTH=88 HEIGHT=31></A><BR>
<FONT FACE="Verdana,Arial,HELVETICA" SIZE=1><SPAN CLASS=X9><B>GUADAGNA sul WEB</B></SPAN></FONT></TD>

<!-- Tastino 3 -->
<TD VALIGN=TOP ALIGN=MIDDLE WIDTH=25%><A HREF="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.italianetwork.it/" TARGET=_top><IMG SRC="http://media.xoom.it/Banners/italia/It_Network_nero_88x31.gif" BORDER=1 WIDTH=88 HEIGHT=31></A><BR>
<FONT FACE="Verdana,Arial,HELVETICA" SIZE=1><SPAN CLASS=X9><B>NET RADIO</B></SPAN></FONT></TD>

<!-- Tastino 4 -->
<TD VALIGN=TOP ALIGN=MIDDLE WIDTH=25%><A HREF="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.qxl.com/cgi-bin/qxlhome.cgi/IT/xoom&title=but" TARGET=_top><IMG SRC="http://media.xoom.it/bottoni/qxl88x31.gif" BORDER=1 WIDTH=88 HEIGHT=31></A><BR>
<FONT FACE="Verdana,Arial,HELVETICA" SIZE=1><SPAN CLASS=X9><B>ASTE ON-LINE</B></SPAN></FONT></TD>

		</TR>
		</TABLE>




<!-- fine banner -->
</TD>

        <TD BGCOLOR=#000000><SPACER TYPE="BLOCK" WIDTH=2 HEIGHT=2></TD>
</TR>

<TR>
        <TD HEIGHT=2 BGCOLOR=#000000 COLSPAN=2><SPACER TYPE="BLOCK" WIDTH=1 HEIGHT=2></TD>
</TR>
</TABLE>



<TABLE CELLPADDING=10 BORDER=0> <!-- Inside Table START   Only here for padding -->
<TR><TD>

<FONT SIZE=+2>
XOOM.it: comunicazione di servizio

<P>

Stiamo portando tutti i web degli utenti su dei nuovi server molto piu' potenti e capienti.<br>
Questa operazione richiedera' qualche ora. Vi preghiamo di scusarci per la temporanea sospensione del servizio:<br>stiamo lavorando per voi!


</FONT>
</TD></TR></TABLE>

</TD></TR>

  <TR>
    <TD background="http://media.xoom.it/new/Left_Background.gif" height=70
    vAlign=bottom width=111><IMG align=baseline height=70 hspace=0
      src="http://media.xoom.it/new/left_bottom4.gif" width=111></TD>
    <TD height=70 vAlign=top width=489 bgcolor=#ffcc66>

        <!---- punto di inserimento snap ----->


      <TABLE border=0 cellPadding=0 cellSpacing=0 height=2 width=489>
        <TBODY>
        <TR>
          <TD bgColor=#000000 colSpan=2 height=2 width=489><SPACER HEIGHT="2"
            WIDTH="1" TYPE="BLOCK"></TD></TR></TBODY></TABLE>
      <TABLE border=0 cellPadding=0 cellSpacing=0 height=66 width=489>
        <TBODY>
        <TR>
          <TD bgColor=#ffcc66 width=487><IMG height=5 hspace=0 src="http://media.xoom.it/new/spacer.gif" width=314><BR><B><FONT class=X11 face="Verdana, Arial, Helvetica">&nbsp;&nbsp;&nbsp;Iscriviti a XOOM.it adesso per questi servizi gratuiti!<BR></FONT>

<FONT class=X11 face="Verdana, Arial, Helvetica">&nbsp;&nbsp;&nbsp;</FONT><FONT class=xh9 face="Arial, Helvetica" size=1><A href="http://xoom.it/webspace/">Spazio Web</A> | <A href="http://xoom.it/email/">Email</A> | <A href="http://xoom.it/chat/">Chat Room</A> | <A href="http://xoom.it/cgi-bin/redir.cgi?url=http://counter.xoom.it/">Xoomcounter</A> | <A href="http://xoom.it/clipart/">Clip
            Art</A> | <A href="http://xoom.it/downloads/">Area Download</A>
            </FONT></B><IMG height=2 hspace=0
            src="http://media.xoom.it/new/spacer.gif" width=314><BR><FONT class=
X11
            face="Verdana, Arial, Helvetica">&nbsp;&nbsp;</FONT><FONT class=X9
            face="Arial, Helvetica" size=1><A href="http://xoom.it/about">Chi e' Xoom.it</A> |
 <A href="http://xoom.it/about/advertising">Pubblicita'</A> | <A
            href="http://xoom.it/PPS">Legge sulla privacy</A> | <A
            href="http://xoom.it/TOS">Condizioni di utilizzo</A><BR><A
            href="http://xoom.it/copyright">Copyright</A> xa9 1999 Licenze XOOM.it. Tutti i d
iritti riservati. Powered by <a href=http://www.it.net><b><font color="#800000">
IT</font><font color="#008000"><i>net</i></b></a></FONT>.
                        <br>
                        <BR clear=all><IMG height=5 hspace=0
            src="http://media.xoom.it/new/spacer.gif" width=314><BR></TD>
          <TD bgColor=#000000 width=2><SPACER HEIGHT="2" WIDTH="2"
            TYPE="BLOCK"></TD></TR></TBODY></TABLE>
      <TABLE border=0 cellPadding=0 cellSpacing=0 height=2 width=489>
        <TBODY>
        <TR>
          <TD bgColor=#000000 colSpan=2 height=2 width=489><SPACER HEIGHT="2"
            WIDTH="1" TYPE="BLOCK"></TD></TR></TBODY></TABLE></TD></TR>
  <TR>
    <TD align=left colSpan=2 vAlign=top><IMG height=20 src="http://media.xoom.it/new/fade_bottom.gif" width=250>
</TD></TR></TBODY></TABLE>
</FORM>
</BODY>
</HTML>


Article: 19161
Subject: Re: Tristate bidirectional pads with Xilinx
From: Dragon <hyarbr01@NoSpam.harris.com>
Date: Thu, 02 Dec 1999 16:11:21 -0500
Links: << >>  << T >>  << A >>
You don't need to use bidir tristates in a Xilinx 4000 to do what you are
trying to do. You do need to have a tristate for data going out of the
FPGA onto a data bus. But for data or commands coming into the
FPGA, try using clock enables for the registers that receive the
data or commands. Looks like this:


                                                                FPGA    |
Outside World
                                                                              |
     Tri_control _______                                          |
                                     |
|              | data bus
                                     |               DATA_PORT    |
|
     Data_out -------------|>------*-------------------------|-----------*
                                               |
|              |
                                               |
|               |
                             |---------|     |                                |
Command_reg----- | Q     D|----|                               |
Read_cmd---------- | EN     |                                     |
                             |           |                                     |

sys_clk --------------|>         |                                     |
                             |---------|                                     |

Hope that turns out. My ASCII art sucks! Think I'll keep my day job.
The VHDL code for it looks like this:

entity tristuff is
port (sys_clk                :in std_logic;
        DATA_PORT    :inout std_logic_vector(7 downto 0);
        <blah blah>);
end tristuff;

architecture behavioral of tristuff is

signal Tri_control        :std_logic;
signal Data_out            :std_logic_vector(7 downto 0);
signal Command_reg    :std_logic_vector(7 downto 0);
signal Read_cmd            :std_logic;

<other stuff>

begin

DATA_PORT <= Data_out when (Tri_control='1') else (others=>'Z');

tri_this:process(sys_clk,Read_cmd)
begin
if (sys_clk'event and sys_clk='1') then
    if (Read_cmd='1' )then
        Command_reg <= DATA_PORT;
    end if;
end if;
end process;

<rest of code>

That's it! When it's the FPGA's turn to drive the bus, set Tri_control='1'.
Data_out will also appear at the D input of Command_reg, but it doesn't
matter because the register is not enabled. When the FPGA is to receive
a command, set Tri_control='0' and Read_cmd='1'.

Also, make sure the enable (Read_cmd='1') comes after the 'event line.
This will infer the clock enable instead of an asynchronous set/reset.

All of the logic pictured should wind up in an IOB. There are tristates in
the FPGA other than those in the IOBs, but I've never seen them used for
anything other than wired-ORs and wired-ANDs. Has anyone found
them to be valuable?

                - Craig


Davide Falchieri <davide.falchieri@bo.infn.it> wrote in message

> news:384694C2.41C67EA6@bo.infn.it...
> > Hello,
> >    I have some problems with two Xilinx FPGA's 4025E-4.
> > I am trying to implement tristate bidirectional pads since, on the same
> > pins, I have to receive commands and to send data out.
> > The VHDL description and the output schematic obtained using Synopsys
> > seem fine, but when I download the bit file on the Xilinxs nothing works
> > as it should. Do you think something goes wrong during the place and
> > route with Alliance ?
> > Now I've even been told that Xilinx doesn't provide tristate
> > bidirectional pads at all: does anyone know if it is true ?
> >
> > Thank you very much for your help.
> >
> > Regards,
> >     Davide



Article: 19162
Subject: Re: Tristate bidirectional pads with Xilinx
From: Dragon <hyarbr01@NoSpam.harris.com>
Date: Thu, 02 Dec 1999 16:15:29 -0500
Links: << >>  << T >>  << A >>
ACK! It got hosed. If you're really interested in what it looks like,
email me and I'll draw you a picture.

            - Craig

Dragon wrote:

> You don't need to use bidir tristates in a Xilinx 4000 to do what you are
> trying to do. You do need to have a tristate for data going out of the
> FPGA onto a data bus. But for data or commands coming into the
> FPGA, try using clock enables for the registers that receive the
> data or commands. Looks like this:
>
>                                                                 FPGA    |
> Outside World
>                                                                               |
>      Tri_control _______                                          |
>                                      |
> |              | data bus
>                                      |               DATA_PORT    |
> |
>      Data_out -------------|>------*-------------------------|-----------*
>                                                |
> |              |
>                                                |
> |               |
>                              |---------|     |                                |
> Command_reg----- | Q     D|----|                               |
> Read_cmd---------- | EN     |                                     |
>                              |           |                                     |
>
> sys_clk --------------|>         |                                     |
>                              |---------|                                     |
>
> Hope that turns out. My ASCII art sucks! Think I'll keep my day job.































Article: 19163
Subject: Re: data serializer/decoder FPGA solution
From: "Holger Kleinert" <Holger@hollgi.de>
Date: Thu, 2 Dec 1999 22:48:28 +0100
Links: << >>  << T >>  << A >>
Manfred Kraus <mkrausnews@cesys.com> schrieb in im Newsbeitrag: 823gqf$bgk$1@thetenth.astat.de...
> I have to transmit data using an optical link (100 MBd).
> How can I serialize / encode and deserialize / decode
> the data using an FPGA only (no analog solution, no CPU) ?
> Are there any  pure digital solutions ?
> The way RS232 transmission works is not practicable (would need at least
> 400 MHz sampling clock)
Hi Manfred !
What about LVDS serializer/deserializer from National Semiconductor or TI ?
Example:
http://www.national.com/pf/DS/DS92LV1021.html
http://www.national.com/pf/DS/DS92LV1210.html
http://www.national.com/pf/DS/DS92LV1212.html

This are 10 Bit serializer/deserializer from National.

Gruss
Holger


Article: 19164
Subject: <!-- To use a different cobrand, make sure you have a template for it in /parts/cobrand/ -->
From: OxbIEcum <OxbIEcum@HudEShpv.comNOSPAM>
Date: 2 Dec 1999 22:12:47 GMT
Links: << >>  << T >>  << A >>






<!-- Get Specific Variables for cobrand -->
<!-- YESs and NOs are CaSe SeNsItIvE... Always use ALL CAPS for them! -->

    

   


<!-- EXTRA_CODE_AFTER_TOP/BOTTOM are typically used for consistent
	 identification or used for "floating" nav bars. If you say YES
	 make sure you hare corresponding code in /parts/cobrand/ -->



<!-- What Modules to use --->







    










<HTML>
<HEAD>

<TITLE>XOOM.it Servizi Iscritti: root</TITLE>
<META name="resource-type" content=document>
<META name="description" content="XOOM.COM Get your web space free! Get Chat! See Movies! Cool Stuff all for Free!">
<META name="keywords" content="XOOM FREE CHAT WEB SPACE MOVIES EMAIL WEB CLIP ART HTML CLIPART CARDS DOWNLOADS">
<META name=distribution content=global>
</HEAD>

<BODY BGCOLOR="#FFFFFF" BACKGROUND="http://media.xoom.it/new/Background.gif" TEXT="#000000" LINK="#0000FF" VLINK="#0000FF" ALINK="#FF0000">

<!-- Top Part Table -->


<TABLE border=0 cellPadding=0 cellSpacing=0 width=600>
  <TBODY>
  <TR>
    <TD background="http://media.xoom.it/new/Left_Background.gif" vAlign=top wid
th=111>
        <A href="http://www.xoom.it">
        <IMG border=0 height=113 hspace=0 src="http://media.xoom.it/new/logo_corner.gif" width=111>
        </A>
      <TABLE background="http://media.xoom.it/new/spacer.gif" border=0 cellPaddi
ng=0 cellSpacing=0 width=111>
        <TBODY>
        <TR>
          <TD align=middle vAlign=top>
            <TABLE border=0 cellPadding=0 cellSpacing=0 width=90>
              <TBODY>
              <TR>
                <TD colSpan=3>
                    <MAP name=helpmap><AREA coords=2,18,87,31
                    href="http://www.xoom.it/helpcenter/newmember"
                    shape=RECT><AREA coords=2,34,87,47
                    href="http://www.xoom.it/helpcenter/technical"
                    shape=RECT><AREA coords=2,50,87,63
                    href="http://www.xoom.it/helpcenter/chatguide"
                    shape=RECT><AREA coords=2,66,87,79
                    href="/MemberServices/epb/epbfaq.html" shape=RECT><AREA
                    coords=2,82,87,95 href="/www.xoom.it/helpcenter/tutorial"
                    shape=RECT>
                                        </MAP>
<br>
                                        <IMG border=0 src="http://media.xoom.it/services/help.gif" useMap=#helpmap width=90 height=97>
                                        </TD>
                                </TR>
                        </TBODY>
                </TABLE>


<!-- *** HOTSPOT *** -->
<!--< include ("/usr/WWW/parts/hotspot.html");>-->


<!---- inizio RISORSE ---->
<br>
                        <IMG alt="" border=0
            height=161 hspace=0 src="http://media.xoom.it/new/resources.gif"
            useMap=#RESOURCES width=90>
<MAP name=RESOURCES><AREA alt=""
              coords=1,17,88,32
                href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.xoom.it/dizionario&title=ris"
              shape=RECT><AREA alt="" coords=1,34,88,48
              href="http://www.xoom.it/chatroom/xoom" 
              shape=RECT><AREA alt="" coords=2,50,88,65
              href="/products/"
              shape=RECT><AREA alt="" coords=2,66,88,80
              href="/helpcenter/"
              shape=RECT><AREA alt="" coords=2,82,88,95
              href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://cartoline.xoom.it/xigo" shape=RECT><AREA alt="" coords=2,97,88,111
              href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.xoom.it/classicmovies"
              shape=RECT><AREA alt="" coords=2,113,88,126
              href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.tuttogratis.com/cgi/entra.cgi&title=ris"    
              shape=RECT><AREA alt="" coords=2,129,88,141
              href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.pronto.i
t"            
              shape=RECT><AREA alt="" coords=2,145,88,157
              href="http://www.xoom.it/cgi-bin/redir.cgi?url=http://italia.qxl.c
om/cgi-bin/qxl/link.cgi%3FURL=%26ID=xoom&title=ris1"
              shape=RECT></MAP>
<!--- fine RISORSE --->

<!---- inizio RISORSE ---->
<br><br>
                        <IMG alt="" border=0
            hspace=0 src="http://media.xoom.it/services/altriservizi.gif"
            useMap=#altrimap width=90> <MAP name=altrimap><AREA alt=""
              coords=1,17,88,32
              href="http://www.xoom.it/clipart"
              shape=RECT><AREA alt="" coords=1,34,88,48
              href="http://www.xoom.it/downloads"
              shape=RECT>
	    </MAP>
<!--- fine RISORSE --->



</TD></TR></TBODY></TABLE></TD>

        <TD vAlign=top width=489>
        <!--- inizio LATO DESTRO --->      <TABLE BORDER=0 CELLPADDING=0 CELLSPACING=0 WIDTH=489><TR>
<TD HEIGHT=2 BGCOLOR=#000000 COLSPAN=2 WIDTH=489><SPACER TYPE="BLOCK" WIDTH=1 HE
IGHT=2></TD></TR>
</TABLE>
<TABLE BORDER=0 CELLPADDING=0 CELLSPACING=0 WIDTH=489 bgcolor=#ffcc99><TR>
<!-- Inizio banner -->
<STYLE TYPE=text/css>
<!--
xoom8 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:7pt;}
xoom9 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:8pt;}
xoom10 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:8pt;}
xoom12 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:10pt; }
xoom14 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:12pt; }
xoom16 {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:14pt; }
xoomhead {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:14pt; font-weight:bold; }
xoomcomhead {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:10pt; font-weight:bold;}
xoomcomsubhead {font-family:Verdana,Tresbuchet MS,Helvetica,Arial; font-size:9pt; margin-left:4pt; }
-->
</STYLE>


<TD bgcolor=#FFCC66 align=center WIDTH=487>

<table border=0><tr><td height=4 nowrap>
</td></tr></table>

<IFRAME SRC="http://ad.it.doubleclick.net/adi/xoom.it/membersarea;area=membersarea;sz=468x60;ord=1125?" WIDTH=468 HEIGHT=60 MARGINWIDTH=0 MARGINHEIGHT=0 HSPACE=0 VSPACE=0 FRAMEBORDER=0 SCROLLING=no BORDERCOLOR="#FFCC66">
<SCRIPT language="JavaScript1.1" SRC="http://ad.it.doubleclick.net/adj/xoom.it/membersarea;area=membersarea;abr=!ie;sz=468x60;ord=1125?">
</SCRIPT>
<NOSCRIPT>
<A HREF="http://ad.it.doubleclick.net/jump/xoom.it/membersarea;area=membersarea;abr=!ie4;abr=!ie5;sz=468x60;ord=1125?">
<IMG SRC="http://ad.it.doubleclick.net/ad/xoom.it/membersarea;area=membersarea;abr=!ie4;abr=!ie5;sz=468x60;ord=1125?" BORDER=0 WIDTH=468 HEIGHT=60>
</A>
</NOSCRIPT>
</IFRAME>


<CENTER><IMG SRC="http://media.xoom.it/NovLook/spacer.gif" HEIGHT=3 WIDTH=468><BR>
<IMG SRC="http://media.xoom.it/blackline.gif" HEIGHT=1 WIDTH=468><BR>
    
<TABLE BORDER=0 CELLPADDING=0 CELLSPACING=0 WIDTH=100%>
		<TR>
			<TD COLSPAN=4><IMG SRC="http://media.xoom.it/new/clear.gif" WIDTH="487" HEIGHT="4" HSPACE="0" VSPACE="0"><BR></TD>
		</TR>

		<TR>

<!-- Tastino 1 -->
<TD VALIGN=TOP ALIGN=MIDDLE WIDTH=25%><A HREF="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.webcast.it/xoom_partner.htm" TARGET=_top><IMG SRC="http://media.xoom.it/bottoni/topmp3.gif" BORDER=1 WIDTH=88 HEIGHT=31></A><BR>
<FONT FACE="Verdana,Arial,HELVETICA" SIZE=1><SPAN CLASS=X9><B>GUIDA MP3</B></SPAN></FONT></TD>

<!-- Tastino 2 -->
<TD VALIGN=TOP ALIGN=MIDDLE WIDTH=25%><A HREF="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.vnss.net/index.asp%3Fi=XOOM%26m=button&title=button" TARGET=_top><IMG SRC="http://media.xoom.it/Banners/vittoria/button_vnss.gif" BORDER=1 WIDTH=88 HEIGHT=31></A><BR>
<FONT FACE="Verdana,Arial,HELVETICA" SIZE=1><SPAN CLASS=X9><B>GUADAGNA sul WEB</B></SPAN></FONT></TD>

<!-- Tastino 3 -->
<TD VALIGN=TOP ALIGN=MIDDLE WIDTH=25%><A HREF="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.italianetwork.it/" TARGET=_top><IMG SRC="http://media.xoom.it/Banners/italia/It_Network_nero_88x31.gif" BORDER=1 WIDTH=88 HEIGHT=31></A><BR>
<FONT FACE="Verdana,Arial,HELVETICA" SIZE=1><SPAN CLASS=X9><B>NET RADIO</B></SPAN></FONT></TD>

<!-- Tastino 4 -->
<TD VALIGN=TOP ALIGN=MIDDLE WIDTH=25%><A HREF="http://www.xoom.it/cgi-bin/redir.cgi?url=http://www.qxl.com/cgi-bin/qxlhome.cgi/IT/xoom&title=but" TARGET=_top><IMG SRC="http://media.xoom.it/bottoni/qxl88x31.gif" BORDER=1 WIDTH=88 HEIGHT=31></A><BR>
<FONT FACE="Verdana,Arial,HELVETICA" SIZE=1><SPAN CLASS=X9><B>ASTE ON-LINE</B></SPAN></FONT></TD>

		</TR>
		</TABLE>




<!-- fine banner -->
</TD>

        <TD BGCOLOR=#000000><SPACER TYPE="BLOCK" WIDTH=2 HEIGHT=2></TD>
</TR>

<TR>
        <TD HEIGHT=2 BGCOLOR=#000000 COLSPAN=2><SPACER TYPE="BLOCK" WIDTH=1 HEIGHT=2></TD>
</TR>
</TABLE>



<TABLE CELLPADDING=10 BORDER=0> <!-- Inside Table START   Only here for padding -->
<TR><TD>

<FONT SIZE=+2>
XOOM.it: comunicazione di servizio

<P>

Stiamo portando tutti i web degli utenti su dei nuovi server molto piu' potenti e capienti.<br>
Questa operazione richiedera' qualche ora. Vi preghiamo di scusarci per la temporanea sospensione del servizio:<br>stiamo lavorando per voi!


</FONT>
</TD></TR></TABLE>

</TD></TR>

  <TR>
    <TD background="http://media.xoom.it/new/Left_Background.gif" height=70
    vAlign=bottom width=111><IMG align=baseline height=70 hspace=0
      src="http://media.xoom.it/new/left_bottom4.gif" width=111></TD>
    <TD height=70 vAlign=top width=489 bgcolor=#ffcc66>

        <!---- punto di inserimento snap ----->


      <TABLE border=0 cellPadding=0 cellSpacing=0 height=2 width=489>
        <TBODY>
        <TR>
          <TD bgColor=#000000 colSpan=2 height=2 width=489><SPACER HEIGHT="2"
            WIDTH="1" TYPE="BLOCK"></TD></TR></TBODY></TABLE>
      <TABLE border=0 cellPadding=0 cellSpacing=0 height=66 width=489>
        <TBODY>
        <TR>
          <TD bgColor=#ffcc66 width=487><IMG height=5 hspace=0 src="http://media.xoom.it/new/spacer.gif" width=314><BR><B><FONT class=X11 face="Verdana, Arial, Helvetica">&nbsp;&nbsp;&nbsp;Iscriviti a XOOM.it adesso per questi servizi gratuiti!<BR></FONT>

<FONT class=X11 face="Verdana, Arial, Helvetica">&nbsp;&nbsp;&nbsp;</FONT><FONT class=xh9 face="Arial, Helvetica" size=1><A href="http://xoom.it/webspace/">Spazio Web</A> | <A href="http://xoom.it/email/">Email</A> | <A href="http://xoom.it/chat/">Chat Room</A> | <A href="http://xoom.it/cgi-bin/redir.cgi?url=http://counter.xoom.it/">Xoomcounter</A> | <A href="http://xoom.it/clipart/">Clip
            Art</A> | <A href="http://xoom.it/downloads/">Area Download</A>
            </FONT></B><IMG height=2 hspace=0
            src="http://media.xoom.it/new/spacer.gif" width=314><BR><FONT class=
X11
            face="Verdana, Arial, Helvetica">&nbsp;&nbsp;</FONT><FONT class=X9
            face="Arial, Helvetica" size=1><A href="http://xoom.it/about">Chi e' Xoom.it</A> |
 <A href="http://xoom.it/about/advertising">Pubblicita'</A> | <A
            href="http://xoom.it/PPS">Legge sulla privacy</A> | <A
            href="http://xoom.it/TOS">Condizioni di utilizzo</A><BR><A
            href="http://xoom.it/copyright">Copyright</A> xa9 1999 Licenze XOOM.it. Tutti i d
iritti riservati. Powered by <a href=http://www.it.net><b><font color="#800000">
IT</font><font color="#008000"><i>net</i></b></a></FONT>.
                        <br>
                        <BR clear=all><IMG height=5 hspace=0
            src="http://media.xoom.it/new/spacer.gif" width=314><BR></TD>
          <TD bgColor=#000000 width=2><SPACER HEIGHT="2" WIDTH="2"
            TYPE="BLOCK"></TD></TR></TBODY></TABLE>
      <TABLE border=0 cellPadding=0 cellSpacing=0 height=2 width=489>
        <TBODY>
        <TR>
          <TD bgColor=#000000 colSpan=2 height=2 width=489><SPACER HEIGHT="2"
            WIDTH="1" TYPE="BLOCK"></TD></TR></TBODY></TABLE></TD></TR>
  <TR>
    <TD align=left colSpan=2 vAlign=top><IMG height=20 src="http://media.xoom.it/new/fade_bottom.gif" width=250>
</TD></TR></TBODY></TABLE>
</FORM>
</BODY>
</HTML>


Article: 19165
Subject: Re: Tristate bidirectional pads with Xilinx
From: Peter Alfke <peter@xilinx.com>
Date: Thu, 02 Dec 1999 15:54:47 -0800
Links: << >>  << T >>  << A >>
Here comes a slight correction:
In all Xilinx FPGAs, the read function is never turned off. You (can) always
read the signal on the pin.
The outputs generally have two controls: one drives the data High or Low, the
other enables or disables the output driver.
Permanently enabled, this makes it a conventional active output,
permanently diabled it's an input only.
Tying data and output enable together makes it an "open collector" or an "open
source" output ( depending on the OE or 3-state polarity chosen), and
controlling the enable/disable signal independently offers bidirectional I/O.

So, the hardware is very straightforward, but you have to observe the polarity
difference between Output Enable and Tristate.
Just read the nomenclature in English...

Peter Alfke, Xilinx Applications
======================
Jamie Sanderson wrote:

> Having a tri-state bi-directional signals implies a control signal or
> signals which tell the I/O when to tri-state, when to drive, and when to
> read. Usually the latter two are mutually exclusive. The most likely culprit
> is that the polarity of a control signal isn't correct. That's easy to do
> since everyone has different ideas about whether these signals should be
> active high or active low.
>
> Cheers,
> Jamie
>
> Davide Falchieri <davide.falchieri@bo.infn.it> wrote in message
> news:384694C2.41C67EA6@bo.infn.it...
> > Hello,
> >    I have some problems with two Xilinx FPGA's 4025E-4.
> > I am trying to implement tristate bidirectional pads since, on the same
> > pins, I have to receive commands and to send data out.
> > The VHDL description and the output schematic obtained using Synopsys
> > seem fine, but when I download the bit file on the Xilinxs nothing works
> > as it should. Do you think something goes wrong during the place and
> > route with Alliance ?
> > Now I've even been told that Xilinx doesn't provide tristate
> > bidirectional pads at all: does anyone know if it is true ?
> >
> > Thank you very much for your help.
> >
> > Regards,
> >     Davide

Article: 19166
Subject: Re: Tristate bidirectional pads with Xilinx
From: Dragon <hyarbr01@NoSpam.harris.com>
Date: Thu, 02 Dec 1999 20:41:20 -0500
Links: << >>  << T >>  << A >>
I suppose  it depends on whether or not the data bus uses an open-drain
(or source?) circuit. If the bus simply used tristates with external pullups, then

you wouldn't need to configure the output as open-drain. I assumed this when
I wrote the VHDL code. If open-drain is needed, then the VHDL must be
coded differently.

After reading the data book on the 4000E, it appears the I/O pins are not
configured to open-drain by default. You must make
special provisions in the code to configure the output for open-drain,
either by coding the VHDL to infer open-drain, or instantiating the
OBUFT symbol directly.

            - Craig

Peter Alfke wrote:

> Here comes a slight correction:
> In all Xilinx FPGAs, the read function is never turned off. You (can) always
> read the signal on the pin.
> The outputs generally have two controls: one drives the data High or Low, the
> other enables or disables the output driver.
> Permanently enabled, this makes it a conventional active output,
> permanently diabled it's an input only.
> Tying data and output enable together makes it an "open collector" or an "open
> source" output ( depending on the OE or 3-state polarity chosen), and
> controlling the enable/disable signal independently offers bidirectional I/O.
>
> So, the hardware is very straightforward, but you have to observe the polarity
> difference between Output Enable and Tristate.
> Just read the nomenclature in English...
>
> Peter Alfke, Xilinx Applications
> ======================



Article: 19167
Subject: Re: Command line for FPGA Express
From: "Austin Franklin" <austin@darkr88oom.com>
Date: 3 Dec 1999 04:15:52 GMT
Links: << >>  << T >>  << A >>
You can go to the FPGAEXP\bin-win32i directory of where your WorkView
Office is installed to find what executables are available.  It appears
there is an executable called "fe_shell.exe", and if you give it a "/?"
it'll show you the options.  You can apparently give it a script file (with
the -file option), and it will run that script.

It appears the script would contain commands like listed when you type
"fe_shell" and once it comes up, you type "help" and it gives you a very
long list of things you can do in the FPGA Express shell...

Another note is "fe.exe" wants a project name...but I don't know what it
does once you give it one...

If you create a script, I'd be interested in seeing what it looked
like...or if anyone has some example scripts, please share them!  I would
like to put this in a batch file that compiles the Verilog, then runs the
Xilinx tools...and gives me a placed and routed chip...


Andy Peters <apeters.Nospam@nospam.noao.edu.nospam> wrote in article
<826abt$21el$1@noao.edu>...
> Mark van de Belt wrote in message <944124247.568813@big.hacom.nl>...
> >Hello,
> >
> >Is there a possibility to use a command line option for FPGA express for
> >checking, synthesis and optimalisation?
> >I presume that Xilinx foundation also calls FPGA express with a command
> line
> >option.
> 
> Yep, at least with the M2.1i tools and FE v3.3.  Look in your start menu
> under Programs | Synopsys (or programs | Xilinx Foundation Series, which
is
> where I put it) and you'll see an item for the FPGA Express Shell.  I'm
not
> sure where it lives on a Unix box.
> 
> 
> --
> -----------------------------------------
> Andy Peters
> Sr Electrical Engineer
> National Optical Astronomy Observatories
> 950 N Cherry Ave
> Tucson, AZ 85719
> apeters (at) noao \dot\ edu
> 
> The secret of Slurm is on a need-to-know basis.
> 
> 
> 
> 
Article: 19168
Subject: ghd
From: "ycp" <snaycp@sbell.com.cn>
Date: Fri, 3 Dec 1999 13:08:33 +0800
Links: << >>  << T >>  << A >>



Article: 19169
Subject: Re: Tristate bidirectional pads with Xilinx
From: Ray Andraka <randraka@ids.net>
Date: Fri, 03 Dec 1999 00:18:26 -0500
Links: << >>  << T >>  << A >>
If you want open drain type outputs, you tie the obuft I input to ground and the T
input to your internal signal you want to bring out as an open collector type.  That
way when the T pin is high, the output pin is hi-Z and when the T pin is low, it is
driving a logic low level.  The easiest way to make sure you get what you want in VHDL
is to instantiate the IOBs rather than letting the tool try to figure out what you
want.

architecture ...
component OBUFT
   port(
      O                              : out   STD_ULOGIC;
      I                              : in    STD_ULOGIC;
      T                              : in    STD_ULOGIC);
end component;

begin
    sample:OBUFT port map(
        O=> pad_signal,
        I => '0',
        T => internal_output_signal  --this is the internal signal 1=>'z'  and 0=> '0'

);


Dragon wrote:

> I suppose  it depends on whether or not the data bus uses an open-drain
> (or source?) circuit. If the bus simply used tristates with external pullups, then
>
> you wouldn't need to configure the output as open-drain. I assumed this when
> I wrote the VHDL code. If open-drain is needed, then the VHDL must be
> coded differently.
>
> After reading the data book on the 4000E, it appears the I/O pins are not
> configured to open-drain by default. You must make
> special provisions in the code to configure the output for open-drain,
> either by coding the VHDL to infer open-drain, or instantiating the
> OBUFT symbol directly.
>
>             - Craig
>
> Peter Alfke wrote:
>
> > Here comes a slight correction:
> > In all Xilinx FPGAs, the read function is never turned off. You (can) always
> > read the signal on the pin.
> > The outputs generally have two controls: one drives the data High or Low, the
> > other enables or disables the output driver.
> > Permanently enabled, this makes it a conventional active output,
> > permanently diabled it's an input only.
> > Tying data and output enable together makes it an "open collector" or an "open
> > source" output ( depending on the OE or 3-state polarity chosen), and
> > controlling the enable/disable signal independently offers bidirectional I/O.
> >
> > So, the hardware is very straightforward, but you have to observe the polarity
> > difference between Output Enable and Tristate.
> > Just read the nomenclature in English...
> >
> > Peter Alfke, Xilinx Applications
> > ======================



--
-Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
401/884-7930     Fax 401/884-7950
email randraka@ids.net
http://users.ids.net/~randraka


Article: 19170
Subject: Re: Connection of light diode and FPGA
From: "Olaf" <Olaf_Birkeland@coldmail.com>
Date: Fri, 3 Dec 1999 10:21:11 +0100
Links: << >>  << T >>  << A >>
Bonio Lopez <bonio.lopezNOboSPAM@gmx.ch.invalid> wrote in message
news:065f8722.30014cf0@usw-ex0101-004.remarq.com...
> Hi,
> A simple question:
> I want to connect one of pins of Virtex to Light diode.
> 1.It must radiate by "1".
> So I have to connect serially GND-300 Ohm resistor - diode -signal pin.
> 2.It must radiate by "0".
> So I have to connect serially Vcc-300 Ohm resistor - diode -signal pin.
>
>
> (I am not sure with current value)
>
> Am I right?

Use a low current LED (like HP's HLMP1700), requires approx If=2 mA @
Vf=1.8V to get good visibility. The resistor is calculated as ( Vcc-Vf ) /
If = 750 Ohm. Your connection scheme is OK.

The low current reduces the current stress on the Virtex, and also
guarantees that the pin has a valid logic level when driving the LED (if
used as input to other devices as well).

Regards,
- Olaf




Article: 19171
Subject: Re: Virtex and JTAG configuration
From: Nicolas Matringe <nicolas@dotcom.fr>
Date: Fri, 03 Dec 1999 11:12:03 +0100
Links: << >>  << T >>  << A >>
Nicolas Matringe wrote:
> 
> Hi all
> I have a problem with my Virtex JTAG... I have several error messages:
> - Checking boundary-scan chain integrity...ERROR:JTag - Boundary-scan
> chain test failed at bit position '3' on instance 'dcmproto(Device1)'.
> - ERROR:JTag - Boundary scan chain has been improperly specified
> - ERROR:JTag - : The boundary-scan chain has not been declared correctly

I feel stupid replying to myself...
The PROGRAM pin was pulled low (I still don't understand why it has been
done so), which keeps the Virtex clearing his memory and prevents it
from doing anything else.

Nicolas MATRINGE           DotCom S.A.
Conception electronique    16 rue du Moulin des Bruyeres
Tel 00 33 1 46 67 51 11    92400 COURBEVOIE
Fax 00 33 1 46 67 51 01    FRANCE
Article: 19172
Subject: Re: Command line for FPGA Express
From: "Mark van de Belt" <mark@nospam.bs>
Date: Fri, 3 Dec 1999 12:24:43 +0100
Links: << >>  << T >>  << A >>
Hello,

I looked into this fe shell, and it is possible to create a batch file for
synthesis, but only if there is a FPGA express project. The foundation
software does not make this project.

The intention of this all is to use an other VHDL editor (Codewright) and to
synthesise the project from there (bypassing the foundation GUI and version/
revision philosophy). The design implementation is no problem, this is a
long series of batch commands (check the fe.log and you can see all the
batch commands for the implementation). The only thing is the syntax check
and the synthesis and optimalisation.

I think a lot of people can benefit from this.

Mark van de Belt


Article: 19173
Subject: Problems with routing Virtex device
From: "Johan Ditmar" <qtxjdit@al.etx.ericsson.se>
Date: Fri, 3 Dec 1999 14:14:17 +0100
Links: << >>  << T >>  << A >>
Hi there,

I am implementing a design on a Xilinx Virtex 1000 device and I have some
problems with routing my design:

Routing active routes does not take that long, maybe a few hours, but
routing PWR/GND gives a lot of problems. It happens often, that PAR simply
'hangs' at that point, by getting into some kind of loop. I have been
waiting many hours and it never finishes. I have to change my design then
(by changing the placement constraints in my .ucf file) and sometimes that
works. This is the case for both rather small and large designs.

This has happened many times and the only special thing about my design is
that I have a lot of placement constraints and carry chains. This might make
routing difficult, but why does PAR hang on routing PWR/GND then and not
active routes? Does someone else have this problem as well?

Johan


Article: 19174
Subject: Re: Problems with routing Virtex device
From: Ray Andraka <randraka@ids.net>
Date: Fri, 03 Dec 1999 09:26:27 -0500
Links: << >>  << T >>  << A >>
Power and ground are routed after all the active signals since you don't have
timing constraints on power and ground.  Your chosen placement is congesting the
routes enough that there is no way to route the power or ground signal to a
particular spot in the design.  When doing the floorplanning, you need to watch
out for excessive routing congestion as well as the distances on critical
routes.  Virtex does have a large routing resource, but it is far from infinite.

Johan Ditmar wrote:

> Hi there,
>
> I am implementing a design on a Xilinx Virtex 1000 device and I have some
> problems with routing my design:
>
> Routing active routes does not take that long, maybe a few hours, but
> routing PWR/GND gives a lot of problems. It happens often, that PAR simply
> 'hangs' at that point, by getting into some kind of loop. I have been
> waiting many hours and it never finishes. I have to change my design then
> (by changing the placement constraints in my .ucf file) and sometimes that
> works. This is the case for both rather small and large designs.
>
> This has happened many times and the only special thing about my design is
> that I have a lot of placement constraints and carry chains. This might make
> routing difficult, but why does PAR hang on routing PWR/GND then and not
> active routes? Does someone else have this problem as well?
>
> Johan



--
-Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
401/884-7930     Fax 401/884-7950
email randraka@ids.net
http://users.ids.net/~randraka




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search