Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 71400

Article: 71400
Subject: Re: Would Tom buy from Nu Horizons?
From: tom_systek@msn.com (Tom Seim)
Date: 16 Jul 2004 22:00:38 -0700
Links: << >>  << T >>  << A >>
Ray Andraka <ray@andraka.com> wrote in message news:<40F8331A.FDE27929@andraka.com>...
> Why?
> 
> Tom Seim wrote many many times:
> 
> > I would avoid Nu Horizons at all costs.
> 

Take my word for it.

I have been in the business of 30 years and this is the most
unresponsive vendor I have ever run into.

Use them at your own risk!

Article: 71401
Subject: Re: Compact FPGA Board?
From: daragoth@kuririnmail.com (Daragoth)
Date: 16 Jul 2004 22:57:53 -0700
Links: << >>  << T >>  << A >>
"Tim" <tim@rockylogic.com.nooospam.com> wrote in message news:<cc234l$2on$1$830fa7b3@news.demon.co.uk>...
> 
> Should be possible.  The boards here:
> 
>     http://www.rockylogic.com/files/AntGuts_LoRes.jpg
> 
> are 50mm x 30mm.  The one on the left has an XC2S50E on the reverse
> side, and the power supply is a switcher.  The other board has an
> XC2S30 on the reverse side, and a linear power supply.

Those look very much like what I need.  If the plastic on the outside
was removed it could perhaps fit within the dimensions I listed.  Are
they for sale?  I tried looking on the website you posted, but
couldn't find any information in the matter.  More information would
be greatly appreciated.  Thanks.

-DAG

Article: 71402
Subject: Re: Spartan3 Dev Boards
From: Nathan Hunsperger <njh@soda.csua.berkeley.edu>
Date: Sat, 17 Jul 2004 06:02:56 +0000 (UTC)
Links: << >>  << T >>  << A >>
Brad Smallridge <bradsmallridge@dslextreme.com> wrote:
> I haven't pursued my Digilent board too much because
> there didn't seem to be any software for it.  I also bought
> a board from Memec and it has a few software examples,
> but no VGA port, which is an interest of mine.  If anyone
> has any more examples for the Memec board, that would
> be of great interest to me.

I've been eyeing a board from Avnet for a while (ADS-XLX-SP3-EVL400).

It is based on a Spartan 3 400, has a VGA port (which uses a real
video DAC), RJ45, RS232, PS2, ..., and a PCI edge.  It is a bit
pricy for hobbyists like me ($399), but it seems to be a very
well-thought through general-purpose dev board.  Avnet also makes
a version with a 1500 part for $100 more, though when I talked to
them a month or so ago, they couldn't source the S3 1500 for
production.

If anybody has used this board and has some comments to share, please do!

- Nathan

Article: 71403
Subject: Re: Compact FPGA Board?
From: "Leon Heller" <leon_heller@hotmail.com>
Date: Sat, 17 Jul 2004 09:17:06 +0100
Links: << >>  << T >>  << A >>
"Daragoth" <daragoth@kuririnmail.com> wrote in message
news:317379a8.0407162157.16a0e979@posting.google.com...
> "Tim" <tim@rockylogic.com.nooospam.com> wrote in message
news:<cc234l$2on$1$830fa7b3@news.demon.co.uk>...
> >
> > Should be possible.  The boards here:
> >
> >     http://www.rockylogic.com/files/AntGuts_LoRes.jpg
> >
> > are 50mm x 30mm.  The one on the left has an XC2S50E on the reverse
> > side, and the power supply is a switcher.  The other board has an
> > XC2S30 on the reverse side, and a linear power supply.
>
> Those look very much like what I need.  If the plastic on the outside
> was removed it could perhaps fit within the dimensions I listed.  Are
> they for sale?  I tried looking on the website you posted, but
> couldn't find any information in the matter.  More information would
> be greatly appreciated.  Thanks.


Prices are here:

http://www.rockylogic.com/


Leon
-- 
Leon Heller, G1HSM
http://www.geocities.com/leon_heller



Article: 71404
Subject: FPGA in a Compact Flash format.
From: cyent@xtra.co.nz (John Carter)
Date: 17 Jul 2004 02:37:17 -0700
Links: << >>  << T >>  << A >>
Does anyone know of a supplier of FPGA's in compact flash format?

(Yes, I know of FPGA development boards that have slots for compact
flash storage devices.)

Compact Flash is a flexible standard that extends way beyond just
flash storage devices. You can get GPS's, wireless LAN's, ADC/DAC's
etc. etc. in Compact Flash format.

What I want is to be able to plug an FPGA into my Sharp Zaurus PDA
(which has a Compact Flash slot and embedded Linux) and use the PDA as
the user interface to the FPGA.

Article: 71405
Subject: Re: Problems with a Virtex-II Engineering Sample
From: pfefferz@colorado.edu (Zach Pfeffer)
Date: 17 Jul 2004 09:08:50 -0700
Links: << >>  << T >>  << A >>
That's great!

Sorry I didn't get back to you sooner.

-Zach

Article: 71406
Subject: FPGA Development board with onboard Ethernet PHY
From: Anup Raghavan <anupr@ieee.org>
Date: Sat, 17 Jul 2004 11:38:33 -0500
Links: << >>  << T >>  << A >>
Hello, I am looking for a FPGA development/prototyping board that has a
RJ45 connector and on board PHY chip.  Can I get recommendations?

Thanks




Article: 71407
Subject: Re: programmable voltage control of a VCCIO Bank
From: "Nial Stewart" <nial@nialstewartdevelopments.co.uk>
Date: Sat, 17 Jul 2004 17:55:08 +0100
Links: << >>  << T >>  << A >>

"Jonathan Bromley" <jonathan.bromley@doulos.com> wrote in message
news:q8tcf05ainjeubq31cilv4ds8gapjgjdjf@4ax.com...

> Alternatively, if the current requirements are fairly low,
> you may find that the good old LM317-class regulators will
> do what you need.  You can build a simple resistive-ladder
> DAC on one set of FPGA outputs, and use it to set the
> tail voltage for the LM317 device.

..or use a single pin to generate the PWM output for a
PWM DAC.

There's an app note on the Xilinx web site on this.


Nial


------------------------------------------------
Nial Stewart Developments Ltd
FPGA and High Speed Digital Design
Cyclone Based 'Easy PCI' proto board
www.nialstewartdevelopments.co.uk



Article: 71408
Subject: Re: Nios reset behavior
From: tns1 <tns1@cox.net>
Date: Sat, 17 Jul 2004 10:52:27 -0700
Links: << >>  << T >>  << A >>
This was wrong too. The Nios vector table entries are all right shifted 
addresses, so they look wrong in a memory dump. Function pointers will 
likewise be only half the value you expect. This plus the 5bit/11bit 
operations, the register windows, etc. all add to the chore of debug.

tns1 wrote:

> However, there is a bug in the way either my bootstrap or app gets 
> built, that causes the application to jump from sram to flash addresses 
> for any function call in main() (ISRs too). 


Article: 71409
Subject: Re: FPGA Development board with onboard Ethernet PHY
From: Petter Gustad <newsmailcomp6@gustad.com>
Date: 17 Jul 2004 20:35:35 +0200
Links: << >>  << T >>  << A >>
Anup Raghavan <anupr@ieee.org> writes:

> Hello, I am looking for a FPGA development/prototyping board that has a
> RJ45 connector and on board PHY chip.  Can I get recommendations?

The Altera NIOS Developer Kit, Stratix or Cyclone edition. It has a
LAN91C111 Ethernet interface:

http://www.altera.com/products/devkits/altera/kit-nios_1S10.html

Petter
-- 
A: Because it messes up the order in which people normally read text.
Q: Why is top-posting such a bad thing?
A: Top-posting.
Q: What is the most annoying thing on usenet and in e-mail?

Article: 71410
Subject: Re: FPGA in a Compact Flash format.
From: hmurray@suespammers.org (Hal Murray)
Date: Sat, 17 Jul 2004 13:46:21 -0500
Links: << >>  << T >>  << A >>
>What I want is to be able to plug an FPGA into my Sharp Zaurus PDA
>(which has a Compact Flash slot and embedded Linux) and use the PDA as
>the user interface to the FPGA.

What do you want the FPGA to do?

FPGAs generally need to have their program loaded at power up time.
That usually requires a few wires going in the back side.  Where are
you going to get them on your PDA?

You could use a ROM on the CF card, but that removes a lot of
flexibility.  It might work if you know what you want the FPGA
to do and don't want to change your mind very often.

Can you get a USB connection on your PDA?

-- 
The suespammers.org mail server is located in California.  So are all my
other mailboxes.  Please do not send unsolicited bulk e-mail or unsolicited
commercial e-mail to my suespammers.org address or any of my other addresses.
These are my opinions, not necessarily my employer's.  I hate spam.


Article: 71411
Subject: Problem with LogicLock and register packing
From: petersommerfeld@hotmail.com (Peter Sommerfeld)
Date: 17 Jul 2004 12:33:26 -0700
Links: << >>  << T >>  << A >>
Hi folks,

I'm having a problem where I back-annotated the nodes of a
LogicLock'ed SDRAM controller. The design was compiled with Auto
Packed Registers = MINIMIZE on Quartus 3.0 SP1. When I recompile the
design, it fails, sometimes with as little as 2 nodes not being able
to fit. This problem does not occur if I compile Reg Packing = NORMAL,
so I assume register packing is causing problems.

I need to pack the registers to stay within the resource constraints
of the project and I need to LogicLock to maintain timing. Is there a
way to LogicLock a register-packed design and not have problems in
subsequent compiles?

-- Pete

Article: 71412
Subject: Re: FPGA Development board with onboard Ethernet PHY
From: Dave Vanden Bout <devb@xess.com>
Date: Sat, 17 Jul 2004 21:53:13 GMT
Links: << >>  << T >>  << A >>
Anup Raghavan <anupr@ieee.org> wrote in news:40F95608.B3C86E63@ieee.org:

> Hello, I am looking for a FPGA development/prototyping board that has a
> RJ45 connector and on board PHY chip.  Can I get recommendations?
> 
> Thanks
> 
> 
> 

The XSB-300E (http://www.xess.com/prod032.php3) has an RJ45 connector and a 
ASIS 88796 MAC+PHY, but I believe you can access just the PHY part.

-----------------------------------------------------------------
Dr. Dave Van den Bout
XESS Corp.
PO Box 33091
Raleigh NC 27636
Phn: (919) 363-4695
Fax: (801) 749-6501
devb@xess.com
http://www.xess.com


Article: 71413
Subject: Xilinx 6.2i ISE WebPACK running under wine?
From: "andrew<AT>rogerstech<DOT>co<DOT>uk" <"andrew<AT>rogerstech<DOT>co<DOT>uk">
Date: Sun, 18 Jul 2004 00:01:34 +0100
Links: << >>  << T >>  << A >>
I have 5.1 ISE WebPACK running under wine but just discivered it doesn't 
support Spartan3. From the archives I read about some difficulties 
regarding 6.2 ISE running on wine, have these been solved?

http://www.fpga-faq.com/archives/70825.html

I urgently need to know if 6.2 ISE works under wine as I have just 
ordered the $99 Spartan-3 Starter Kit. If not I shall need to cancel my 
order.

For those who haven't seen the Spartan 3 Kit:

http://www.xilinx.com/products/spartan3/s3boards.htm

Looks like a superb bit of kit, I will be buying a number of these for 
my University module. Having the RAM on board is great. The applications 
I have already thought about - add an ADC and you have a digital storage 
scope, Turbo decoder, LDPC codes and so on. We'll need to get the ISE 
6.2 WebPACK running on Linux and write our own programmer software. Does 
anyone know of any Linux JTAG programming software suitable for the 
Spartan? Or details of the protocol for programming the FPGA? I guess I 
don't need to know the details of the bitstream, but I need to know how 
the bitstream is inserted into the JTAG data.

At the moment my biggest hurdle (after learning VHDL!) will be getting 
6.2 WebPACK running on wine.

Regards
Andrew


Article: 71414
Subject: Re: Xilinx 6.2i ISE WebPACK running under wine?
From: Duane Clark <junkmail@junkmail.com>
Date: Sat, 17 Jul 2004 17:34:03 -0700
Links: << >>  << T >>  << A >>
andrew<AT>rogerstech<DOT>co<DOT>uk wrote:
> I have 5.1 ISE WebPACK running under wine but just discivered it doesn't 
> support Spartan3. From the archives I read about some difficulties 
> regarding 6.2 ISE running on wine, have these been solved?
> 
> http://www.fpga-faq.com/archives/70825.html
> 
> I urgently need to know if 6.2 ISE works under wine as I have just 
> ordered the $99 Spartan-3 Starter Kit. If not I shall need to cancel my 
> order.
> 

It does appear to run, though with some err... "features". Since I have 
the Linux version of ISE, I have not tested Webpack/Wine much.

First, Wine should be configured for a Version of "win2k". You also 
should get a copy of a native version of msvcrt.dll somewhere, and 
configure Wine to use it. If you are already running a previous version 
of Webpack under Wine, then I would guess you already meet those 
requirements.

When installing Webpack, you will get some error dialogs, and some Wine 
processes will even crash. Don't worry, Webpack is still installed ok ;) 
Really!

Finally, the biggest problem is that the GUI runs really slow with a 
current Wine, due to a named pipes bug. But this is also the same as in 
Webpack 5.1, so there will be no change here. If you are just using the 
command line tools, then they run fine. You could patch a version of 
Wine to run the GUI if you wanted; I have a patched version around that 
runs the GUI fine.

-- 
My real email is akamail.com@dclark (or something like that).

Article: 71415
Subject: Re: twos to ones and ones to twos compliments
From: Prasanth Kumar <prasanth@zeus.comcast.net>
Date: Sun, 18 Jul 2004 01:17:11 GMT
Links: << >>  << T >>  << A >>
On Fri, 2004-07-16 at 12:03 +0200, Sylvain Munaut wrote:
> chuk wrote:
> > Any one know of the most efficient method (seed and space wise) of
> > implementing conversion of ones to twos and twos to ones compliment???
> >  Currently using addition and subtraction, but this is very
> > waist-full!!!
> > thanks 
> > C
> I'd say with XOR and +/-1.

The shortcut from doing 2's compliment is to invert the bits after the
first 1 starting from the right. So for example:

10011100 (original value)
VVVVV^The first 1 from the right
01100100 (2's compliment)

Thus you can create an chain of 'or' gates connected to 'xor' gates to
invert the bits after the first 1 bit starting from the right. It is
possible to do this work in parallel but without implementing it, I
don't know if it will actually be faster than a straightforward addition
since most FPGAs have fast ripple-carry adders.





Article: 71416
Subject: Re: Xilinx 6.2i ISE WebPACK running under wine?
From: drwho8@att.net (Gregg C Levine)
Date: Sun, 18 Jul 2004 02:15:58 GMT
Links: << >>  << T >>  << A >>
In article <cdcgje0aap@news2.newsguy.com>, junkmail@junkmail.com 
says...
>
>andrew<AT>rogerstech<DOT>co<DOT>uk wrote:
>> I have 5.1 ISE WebPACK running under wine but just discivered it 
doesn't 
>> support Spartan3. From the archives I read about some difficulties 
>> regarding 6.2 ISE running on wine, have these been solved?
>> 
>> http://www.fpga-faq.com/archives/70825.html
>> 
>> I urgently need to know if 6.2 ISE works under wine as I have just 
>> ordered the $99 Spartan-3 Starter Kit. If not I shall need to cancel my 
>> order.
>> 
>
>It does appear to run, though with some err... "features". Since I have 
>the Linux version of ISE, I have not tested Webpack/Wine much.
>
>First, Wine should be configured for a Version of "win2k". You also 
>should get a copy of a native version of msvcrt.dll somewhere, and 
>configure Wine to use it. If you are already running a previous version 
>of Webpack under Wine, then I would guess you already meet those 
>requirements.
>
>When installing Webpack, you will get some error dialogs, and some Wine 
>processes will even crash. Don't worry, Webpack is still installed ok ;) 
>Really!
>
>Finally, the biggest problem is that the GUI runs really slow with a 
>current Wine, due to a named pipes bug. But this is also the same as in 
>Webpack 5.1, so there will be no change here. If you are just using the 
>command line tools, then they run fine. You could patch a version of 
>Wine to run the GUI if you wanted; I have a patched version around that 
>runs the GUI fine.
>
>-- 
>My real email is akamail.com@dclark (or something like that).

Hello from Gregg C Levine
Just for the sake of arguement, where did you find the Linux version of the 
tool? Every time I visit their webpages, all it talks about is the Windows 
version of the tool. And I've tried searching the site, its search engine does 
not properly return anything.
Gregg C Levine drwho8 atsign att dot net
"This signature says, "YACK!"."


Article: 71417
Subject: Re: FPGA Development board with onboard Ethernet PHY
From: Anup Raghavan <anupr@ieee.org>
Date: Sat, 17 Jul 2004 23:51:50 -0500
Links: << >>  << T >>  << A >>
Thanks y'all for your directions.

Anup


Anup Raghavan wrote:

> Hello, I am looking for a FPGA development/prototyping board that has a
> RJ45 connector and on board PHY chip.  Can I get recommendations?
>
> Thanks


Article: 71418
Subject: Re: Is the Xilinix XC3020 atill supported?
From: glen herrmannsfeldt <gah@ugcs.caltech.edu>
Date: Sun, 18 Jul 2004 08:01:58 GMT
Links: << >>  << T >>  << A >>
Peter Alfke wrote:

> Let me say it loud and clear once more:
> The only meaningful use for FPGAs of the XC3000, 4000 and 5200 vintage is in
> existing equipment that for some reason cannot be redesigned.
> Otherwise donate these obsolete parts to the landfill, and use modern Virtex
> and Spartan parts instead.
> This applies especially to our dear educators.
> Just because we have got our grey hair fighting the hardware and software
> limitations in the olden days, that is no reason to contaminate young minds.
> FPGAs are an exciting way to learn, try out, and implement all sorts of
> logic. Just stay away from the musty stuff of the previous century !

Is there anyone working on a museum of FPGA history?

While I agree that they don't have any practical value for
current designs, they may have historical value.

Also, as Peter says, there may be a small number of people
who need the software to keep old systems running.

-- glen


Article: 71419
Subject: Re: FPGA Development board with onboard Ethernet PHY
From: "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk>
Date: Sun, 18 Jul 2004 10:39:16 +0100
Links: << >>  << T >>  << A >>
We can supply Broaddown2 with a plug-in PHY and RJ45 module. Details are
here http://www.enterpoint.co.uk/moelbryn/broaddown2.html . Board will
operate stand-alone or in PCI slot.

-- 
John Adair
Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development
Board.
http://www.enterpoint.co.uk


"Anup Raghavan" <anupr@ieee.org> wrote in message
news:40F95608.B3C86E63@ieee.org...
> Hello, I am looking for a FPGA development/prototyping board that has a
> RJ45 connector and on board PHY chip.  Can I get recommendations?
>
> Thanks
>
>
>



Article: 71420
Subject: Re: Xilinx 6.2i ISE WebPACK running under wine?
From: Andrew Rogers <andrew@_NO_SPAM_rogerstech.co.uk>
Date: Sun, 18 Jul 2004 11:01:22 +0100
Links: << >>  << T >>  << A >>
Simon wrote:

....

> This was after I'd 'upgraded' to something I thought would handle large 
> amounts of memory well and synthesize/P&R faster... Oh well, back to 
> windows :-( Xilinx now has the dubious honour of being the only company 
> for which I maintain a Windows partition :-(
> 
> Simon.

I wonder how much Microsoft are paying Xilinx! After all Xilinx don't 
release ISE WebPACK for Linux. Has anyone heard a REAL reason for Xilinx 
not releasing WebPACK for Linux?

Andrew.


Article: 71421
Subject: Re: Xilinx 6.2i ISE WebPACK running under wine?
From: Simon <news@gornall.net>
Date: Sun, 18 Jul 2004 10:07:08 GMT
Links: << >>  << T >>  << A >>
Gregg C Levine wrote:
> In article <cdcgje0aap@news2.newsguy.com>, junkmail@junkmail.com 
> says...
> 
>>andrew<AT>rogerstech<DOT>co<DOT>uk wrote:
>>
>>>I have 5.1 ISE WebPACK running under wine but just discivered it 
> 
> doesn't 
> 
>>>support Spartan3. From the archives I read about some difficulties 
>>>regarding 6.2 ISE running on wine, have these been solved?
>>>
>>>http://www.fpga-faq.com/archives/70825.html
>>>
>>>I urgently need to know if 6.2 ISE works under wine as I have just 
>>>ordered the $99 Spartan-3 Starter Kit. If not I shall need to cancel my 
>>>order.
>>>
>>
>>It does appear to run, though with some err... "features". Since I have 
>>the Linux version of ISE, I have not tested Webpack/Wine much.
>>
>>First, Wine should be configured for a Version of "win2k". You also 
>>should get a copy of a native version of msvcrt.dll somewhere, and 
>>configure Wine to use it. If you are already running a previous version 
>>of Webpack under Wine, then I would guess you already meet those 
>>requirements.
>>
>>When installing Webpack, you will get some error dialogs, and some Wine 
>>processes will even crash. Don't worry, Webpack is still installed ok ;) 
>>Really!
>>
>>Finally, the biggest problem is that the GUI runs really slow with a 
>>current Wine, due to a named pipes bug. But this is also the same as in 
>>Webpack 5.1, so there will be no change here. If you are just using the 
>>command line tools, then they run fine. You could patch a version of 
>>Wine to run the GUI if you wanted; I have a patched version around that 
>>runs the GUI fine.
>>
>>-- 
>>My real email is akamail.com@dclark (or something like that).
> 
> 
> Hello from Gregg C Levine
> Just for the sake of arguement, where did you find the Linux version of the 
> tool? Every time I visit their webpages, all it talks about is the Windows 
> version of the tool. And I've tried searching the site, its search engine does 
> not properly return anything.
> Gregg C Levine drwho8 atsign att dot net
> "This signature says, "YACK!"."
> 

You should also be aware that it doesn't appear to work well if you have 
an Athlon64 processor. I've had Wine working fine under non-64 bit 
processors, but can't get it to compile on 64-bit linux for the AMD64.

There was some traffic on the Wine list saying that it now works 
perfectly, and if anyone has problems, it's an issue with their kernel 
or libraries, but I've tried the very latest Fedora-2 + all patches + 
latest kernel and still no joy :-(

This was after I'd 'upgraded' to something I thought would handle large 
amounts of memory well and synthesize/P&R faster... Oh well, back to 
windows :-( Xilinx now has the dubious honour of being the only company 
for which I maintain a Windows partition :-(

Simon.

Article: 71422
Subject: Re: Xilinx 6.2i ISE WebPACK running under wine?
From: Petter Gustad <newsmailcomp6@gustad.com>
Date: 18 Jul 2004 12:08:48 +0200
Links: << >>  << T >>  << A >>
Simon <news@gornall.net> writes:

> You should also be aware that it doesn't appear to work well if you
> have an Athlon64 processor. I've had Wine working fine under non-64
> bit processors, but can't get it to compile on 64-bit linux for the
> AMD64.

The native Linux version of ISE runs on AMD64. Too bad there is no
WebPack for native Linux though.

Petter
-- 
A: Because it messes up the order in which people normally read text.
Q: Why is top-posting such a bad thing?
A: Top-posting.
Q: What is the most annoying thing on usenet and in e-mail?

Article: 71423
Subject: Re: Memory width on Spartan-3 boards
From: "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk>
Date: Sun, 18 Jul 2004 11:55:29 +0100
Links: << >>  << T >>  << A >>
Have a look at our product Broadown2. We can definately support 32 bit
memory. Details are here
http://www.enterpoint.co.uk/moelbryn/broaddown2.html . Our pricing is
roughly comparable to Avnet. If you are a student/academic within the area
covered by our UAP program there may be discounts available.

-- 
John Adair
Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development
Board.
http://www.enterpoint.co.uk


"Simon" <news@gornall.net> wrote in message
news:CJsKc.450$8D.12@newsfe3-gui.ntli.net...
> So, I have a 32-bit processor design which I'd like to move to the
> implementation stage, and I was wondering which of the
> currently-available boards have 32-bit wide memory... I don't really
> fancy designing my own because I've never ventured near 4-layer boards,
> and if I was making my own, I'd want one of the FG456 packages, and
> presumably you'd have to get that professionally soldered anyway...
>
> As far as I can tell:
>
>    Xilinx starter kit
>    ------------------
>
>       Pros: 32-bit wide RAM
>             LCD/Leds for debugging
>             PS2/VGA outputs
>             Price: $99 :-)
>
>       Cons:
>             Only 1 MByte of RAM
>             Uses the '200 part not the '400
>             No ethernet PHY
>             Fixed oscillator freq. (the CPU goes faster :-)
>             Not many user-IO's available
>
>
>    AVnet Spartan-3 evaluation kit
>    ------------------------------
>
>       Pros: '400 part used :-)
>             Two oscillators, socketed
>             Lots of IO's available
>             Ethernet, VGA, PS2, RS232, Leds etc.
>             Could potentially be a PCI card
>
>       Cons: Only 1 MByte RAM
>             Not clear if the memory is 32-bit wide
>             Price is $399
>
>
>    Memec DS-KIT-3SLC400-PAC
>    ------------------------
>
>       Cons: Has no memory on-board, enough said.
>
>
>    Nu Horizons Spartan3 board
>    --------------------------
>
>       Pros: Uses the '400 part, but only in the '208 package
>             Has D2A and A2D onboard
>             Has Flash RAM
>             Has LCD (4x24) as well as leds,buttons etc.
>             Has spare oscillator socket for > 20MHz operation
>             Price - $164 :-)
>
>       Cons: SDRAM appears to be 16-bit wide
>             Only has ~20 user io due to '208 package
>
>
>
> So, nothing is perfect [grin], The AVNet one may be the best of the
> bunch, despite being the most expensive, so long as it has 32-bit wide
> RAM. I'd really appreciate it if someone who already has the board could
> tell me :-)
>
>
>
> For the record (in case any board companies are listening :-) my ideal
> board would be something like:
>
>   - FG456 Spartan 3 '400 part
>   - Lots of user-IO, some with pin headers not obscure connectors
>   - 32+ bit wide RAM, either SRAM or SDRAM. How about a DIMM :-)
>   - Ethernet PHY
>   - Leds / buttons / LED (or LCD) display
>   - VGA and PS2 connectors
>   - PCI edge connector would be nice but not essential
>
> If Xilinx can do theirs for $99, I think the above could be do-able for
> $200 (or $199 in marketing speak). I'd bite your hand off :-)
>
> Simon



Article: 71424
Subject: Memory width on Spartan-3 boards
From: Simon <news@gornall.net>
Date: Sun, 18 Jul 2004 10:58:42 GMT
Links: << >>  << T >>  << A >>
So, I have a 32-bit processor design which I'd like to move to the 
implementation stage, and I was wondering which of the 
currently-available boards have 32-bit wide memory... I don't really 
fancy designing my own because I've never ventured near 4-layer boards, 
and if I was making my own, I'd want one of the FG456 packages, and 
presumably you'd have to get that professionally soldered anyway...

As far as I can tell:

   Xilinx starter kit
   ------------------

      Pros: 32-bit wide RAM
            LCD/Leds for debugging
            PS2/VGA outputs
            Price: $99 :-)

      Cons:
            Only 1 MByte of RAM
            Uses the '200 part not the '400
            No ethernet PHY
            Fixed oscillator freq. (the CPU goes faster :-)
            Not many user-IO's available


   AVnet Spartan-3 evaluation kit
   ------------------------------

      Pros: '400 part used :-)
            Two oscillators, socketed
            Lots of IO's available
            Ethernet, VGA, PS2, RS232, Leds etc.
            Could potentially be a PCI card

      Cons: Only 1 MByte RAM
            Not clear if the memory is 32-bit wide
            Price is $399


   Memec DS-KIT-3SLC400-PAC
   ------------------------

      Cons: Has no memory on-board, enough said.


   Nu Horizons Spartan3 board
   --------------------------

      Pros: Uses the '400 part, but only in the '208 package
            Has D2A and A2D onboard
            Has Flash RAM
            Has LCD (4x24) as well as leds,buttons etc.
            Has spare oscillator socket for > 20MHz operation
            Price - $164 :-)

      Cons: SDRAM appears to be 16-bit wide
            Only has ~20 user io due to '208 package



So, nothing is perfect [grin], The AVNet one may be the best of the 
bunch, despite being the most expensive, so long as it has 32-bit wide 
RAM. I'd really appreciate it if someone who already has the board could 
tell me :-)



For the record (in case any board companies are listening :-) my ideal 
board would be something like:

  - FG456 Spartan 3 '400 part
  - Lots of user-IO, some with pin headers not obscure connectors
  - 32+ bit wide RAM, either SRAM or SDRAM. How about a DIMM :-)
  - Ethernet PHY
  - Leds / buttons / LED (or LCD) display
  - VGA and PS2 connectors
  - PCI edge connector would be nice but not essential

If Xilinx can do theirs for $99, I think the above could be do-able for 
$200 (or $199 in marketing speak). I'd bite your hand off :-)

Simon



Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search