Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 102850

Article: 102850
Subject: Re: ispLEVER Starter 6.0 FPGA Design Software Available
From: lb.edc@telenet.be
Date: Mon, 22 May 2006 15:25:40 GMT
Links: << >>  << T >>  << A >>
John,

No X-Tracer
25-30% slower as full version (Altera has same limitation, Xilinx has
even slower version)

That's it.

Luc

On 22 May 2006 07:48:01 -0700, "johnp" <johnp3+nospam@probo.com>
wrote:

>Any idea what the limitations of the OEM version of Modelsim are for
>the
>Lattice OEM version?
>
>John Providenza

Article: 102851
Subject: Re: Independent clock FIFOs
From: "Peter Alfke" <peter@xilinx.com>
Date: 22 May 2006 08:52:39 -0700
Links: << >>  << T >>  << A >>
When you have two independent clocks (133 and 150 MHz), the difference
of the two clock periods heas no meaning whatsoever.
These two clocks will have any phase- or delay-difference imaginable.
They just "walk over each other". That's what makes the design so
complex.
Meeting timing constraints between independent clock is an exercise in
futility. Don't do it!
Ignore any complaints!
Peter Alfke, Xilinx


Article: 102852
Subject: xilinx pricing discrepancy
From: "Anonymous" <someone@microsoft.com>
Date: Mon, 22 May 2006 16:05:52 GMT
Links: << >>  << T >>  << A >>
Can anyone explain why the quotes that we are getting from Xilinx are 4x
their own marketing materials? For example this article

http://www.ednasia.com/article.asp?articleid=1913

says that quantity 50k of an fx12 would be $29.99. We are being quoted about
$112 for 100k units. Most of the other device prices we are getting are also
about 4x what I suspect they must be considering the applications they are
going into.

Are we talking to the wrong people? Is there a direct xilinx contact to
negotiate large volume deals.

Thanks,
Clark



Article: 102853
Subject: Re: LISP Workshop at ECOOP06
From: "Rob Thorpe" <robert.thorpe@antenova.com>
Date: 22 May 2006 09:10:33 -0700
Links: << >>  << T >>  << A >>
Colin Paul Gloster wrote:
> On Fri, 19 May 2006, Hans H=FCbner posted:
> Some of the topics of interest are:
>
>     * Implementation of LISP-friendly CPUs in hardware
> [..]"
>
> Which features are thought of as being Lisp-friendly?
>
> Beware of the warning in Chapter 2 on page 109 of Hennessy, John L and
> Patterson, David A, "Computer Architecture: A Quantitative Approach",
> second edition:
>
> "Pitfall: Designing a "high-level" instruction set feature specifically
> oriented to supporting a high-level language structure.
>
> [..]
>
> [..] often the instructions are simply overkill-they are too general for
> the most frequent case, resulting in unneeded work and a slower
> instruction. [..]

I think you're probably right.  It is difficult to introduce
instructions useful to Lisp in such a way that they:-
* Don't make the machine harder to design, or make it slower
* Don't fix the idea of how certain lisp idioms should be implemented

But still I'd be interested to see what people come up with.  The
pitfall H & P describe is that of designing an instruction set in a
high-level manner, which is not the subject of the discussion.  It may
be possible to make a microprocessor more lisp-friendly by doing a lot
less than this.

I'm not sure it's really necessary though, modern lisp compilers like
CMUCL and SBCL produce fast code. Those performance faults that there
are are mainly due to their weaknesses as compiler/VMs, rather than any
fundamental problem converting lisp into machine language.


Article: 102854
Subject: Re: CPLD (CoolRunner failures)
From: "Nigel" <neilchamberlain@hotmail.com>
Date: 22 May 2006 09:11:04 -0700
Links: << >>  << T >>  << A >>
the vendor (i cant reveal who that is) is using the CoolRunner in a
design.
it is not Xilinx.
N.


Article: 102855
Subject: Re: CPLD (CoolRunner failures)
From: "Nigel" <neilchamberlain@hotmail.com>
Date: 22 May 2006 09:12:01 -0700
Links: << >>  << T >>  << A >>
cannot say.
vendor is not Xilinx.


Article: 102856
Subject: Re: xilinx pricing discrepancy
From: "Antti" <Antti.Lukats@xilant.com>
Date: 22 May 2006 09:28:08 -0700
Links: << >>  << T >>  << A >>
FX12 in 100k is defenetly not 112USD
the 29.99 for FX12 sure sounds like really nice price..

try direct contacts for 100k volumes you must get better pricing for
sure
unless you are asking for largest package fastest speed industrial
temperature..

the advertized pricing is always the lowest price for given part
smallest pack, slowest speed, commercial temp, etc

Antti


Article: 102857
Subject: Re: CPLD (CoolRunner failures)
From: "Peter Alfke" <peter@xilinx.com>
Date: 22 May 2006 09:33:33 -0700
Links: << >>  << T >>  << A >>
When you say short,: how short is short?
Measure the I/V characteristic for both polarities.
That might give youa hint whether it is "ohmic" or whether a diode is
involves.
I still maintain that your mystery vendor is imagining things.( I meant
ro write something not fit for this newsgroup...)
Peter Alfke


Article: 102858
Subject: Re: xilinx pricing discrepancy
From: "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk>
Date: Mon, 22 May 2006 17:33:39 +0100
Links: << >>  << T >>  << A >>
Headline pricing often has a date sometime in the future against it. There 
are also price variations against package. The headline price will also 
usually be done on the cheapest package and speed grade. I'm guessing that 
you are using the FF668 package by the prices you have.

What you need to do is to talk to your FAE who may be either at distributor 
level or if you are a big account it will likely be Xilinx or the country 
rep. Depends where you are and who you are. Alternativly some Xilinx 
partners like ourselves, or even large assembler operations, can be used as 
negotiators of price as we know reasonably well how to drive the system and 
what can be attained in a project with a tight budget.

John Adair
Enterpoint Ltd. - Home of Raggedstone1. The Low Cost Spartan-3 Development 
Board.
http://www.enterpoint.co.uk


"Anonymous" <someone@microsoft.com> wrote in message 
news:Ajlcg.3653$Qg.2028@tornado.southeast.rr.com...
> Can anyone explain why the quotes that we are getting from Xilinx are 4x
> their own marketing materials? For example this article
>
> http://www.ednasia.com/article.asp?articleid=1913
>
> says that quantity 50k of an fx12 would be $29.99. We are being quoted 
> about
> $112 for 100k units. Most of the other device prices we are getting are 
> also
> about 4x what I suspect they must be considering the applications they are
> going into.
>
> Are we talking to the wrong people? Is there a direct xilinx contact to
> negotiate large volume deals.
>
> Thanks,
> Clark
>
> 



Article: 102859
Subject: Re: [Xilinx V4SX55]DDR2 SDRAM controller + dual purpose pins
From: "Tim Verstraete" <tim.verstraete@barco.com>
Date: 22 May 2006 09:41:03 -0700
Links: << >>  << T >>  << A >>
these are the bitgen options i'm using:

C:/Xilinx7/bin/nt/bitgen.exe -intstyle ise -w -g DebugBitstream:No -g
Binary:no -g CRC:Enable -g ConfigRate:4 -g CclkPin:PullUp -g
M0Pin:PullUp -g M1Pin:PullUp -g M2Pin:PullUp -g ProgPin:PullUp -g
DonePin:PullUp -g InitPin:Pullup -g CsPin:Pullup -g DinPin:Pullup -g
BusyPin:Pullup -g RdWrPin:Pullup -g TckPin:PullUp -g TdiPin:PullUp -g
TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g
UserID:0xFFFFFFFF -g DCMShutDown:Disable -g DCIUpdateMode:AsRequired -g
StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g
LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No -g
Encrypt:No toplevel_800board.ncd

thanks in advance,

kind regards,

Tim


Brian Davis wrote:
> Tim Verstraete wrote:
> > this is way i'm thinking that is might have something to do with the
> > dual purpose pin configuration? i'm using ISE7.1SP4...
>
>  If you by chance are using DCI on those particular pins, they won't
> work properly unless you've set DCIUpdateMode = "Noisy"
> ( aka "Continuous" or "AsRequired" )
>
> See:
>  Answer Record # 14887: Virtex-II/-II Pro/-4, Configuration -
>    Dual-purpose configuration pins do not function properly when they
>    are set to a DCI standard
> 
> Brian


Article: 102860
Subject: Re: Unknown Processor Version (8)
From: "Antti" <Antti.Lukats@xilant.com>
Date: 22 May 2006 09:43:48 -0700
Links: << >>  << T >>  << A >>
something is wrong with MDM-JTAG XMD is not able to connect to the
processor, its an hardware issue nothing todo with the software
applications

the best is to try out some working MB soc that has MDM in it, does XMD
work with it? if yes then compare with your project

Antti


Article: 102861
Subject: Re: ispLEVER Starter 6.0 FPGA Design Software Available
From: "Piotr Wyderski" <wyderskiREMOVE@ii.uni.wroc.pl>
Date: Mon, 22 May 2006 18:55:03 +0200
Links: << >>  << T >>  << A >>
lb.edc@telenet.be wrote:

> In my opinion, if your design needs a simulator

Every design needs a simulator. Even as simple as that one from
Quartus Webpack -- it is still infinitely better than no simulator.

> For this price you get the OEM version of ModelSim - and this
> is by far the best deal you can get

Currently the best deal I can get is not to use Lattice or Actel
parts -- the other vendors provide all the necessary tools.

    Best regards
    Piotr Wyderski


Article: 102862
Subject: Re: [Xilinx V4SX55]DDR2 SDRAM controller + dual purpose pins
From: "Brian Davis" <brimdavis@aol.com>
Date: 22 May 2006 09:56:19 -0700
Links: << >>  << T >>  << A >>
Tim Verstraete wrote:
> these are the bitgen options i'm using:

 Sorry, I managed to chop off a line in my first post -
double check the detailed bitgen report to make sure
BitGen actually honored your DCIUpdateMode setting.

 In 6.something, on a V2Pro, BitGen would automagically
set the "quiet" mode if DCI usage were detected,
overriding the command line flags.

 Even if the report looks OK, I'd investigate dual usage pins
further, if you're using DCI, given the past problems.

 Although Xilinx removed that DCI pin restriction from the
V4 Users Guide, as compared to V2/Pro User's Guide, the
restriction has re-appeared in the Answer Records for V4.

Brian


Article: 102863
Subject: Re: ispLEVER Starter 6.0 FPGA Design Software Available
From: "Antti" <Antti.Lukats@xilant.com>
Date: 22 May 2006 10:11:51 -0700
Links: << >>  << T >>  << A >>
I use xilinx build in simulator for Lattice designs :)
or ok usually I am not doing that either.

but sure a simulator is a nice a have feature (when it is available)

Antti


Article: 102864
Subject: Building a board with Spartan 3 FPGA.
From: "Telenochek" <interpasha@hotmail.com>
Date: 22 May 2006 10:29:06 -0700
Links: << >>  << T >>  << A >>
Hi, I am trying to build a very simple Spartan3 - based FPGA board.
The idea is to have FPGA + Flash PROM & Jtag header and I/Os.
Nothing else.

I am running into a problem:
Using my DMM, VCCAUX & VCCO seem to be shorted to GND.
At this point I am absolutely sure that they are shorted through the
Xilinx chip.

Does Xilinx chip need to be programmed with some kind of default
configuration, before it can even be powered on?
In other words, should there be some kind of *safety configuration*
programmed into the Flash PROM, prior to applying power to Xilinx FPGA?

If you have any references, links, suggestions, guidelines for putting
a single FPGA chip on a board with nothing other than the basics for
programming it, I would be grateful if you point me to them.

I copied my design from Digilent Spartan3 Starter Kit, getting rid of
all the *starter kit* peripherals there and just leaving the
essentials: JTAG header, Flash PROM, FPGA, expansion  I/Os

Thanks!


Article: 102865
Subject: Re: Building a board with Spartan 3 FPGA.
From: "Antti" <Antti.Lukats@xilant.com>
Date: 22 May 2006 10:56:20 -0700
Links: << >>  << T >>  << A >>
no.
DMM is not good to measure but before config the VCCxx pins should take
some normal current, eg not short circuit.

doing a s3 proto is rather simple, just connect the GND, VCCxx, JTAG
and pull PROG_B thats it

Antti


Article: 102866
Subject: Re: DDR2 SDRAM controller + dual purpose pins
From: "Tim Verstraete" <tim.verstraete@barco.com>
Date: 22 May 2006 10:57:29 -0700
Links: << >>  << T >>  << A >>
thanks i will definetly check it out ... and might try the bitgen of
ISE8.1 + ISE7.1 and do some investigation on it ...

thank you for the interesting tip ... i'll let you know what happens
...

thanks,

kind regards,

Tim


Article: 102867
Subject: Re: Building a board with Spartan 3 FPGA.
From: "John_H" <johnhandwork@mail.com>
Date: Mon, 22 May 2006 18:08:20 GMT
Links: << >>  << T >>  << A >>
If VccAux appears to be grounded in a powered board, your problems will 
never be with the device.

If VccAux never comes up, there is no configuration to do anything negative 
to your board.

A newly-powered Spartan3 comes up in an innocuous state until the device is 
programmed at which point it does what it's programmed to do.

Check your soldering.  Check your gerbers.  I've seen problems with both 
despite the best attempts of engineers and design software.


"Telenochek" <interpasha@hotmail.com> wrote in message 
news:1148318946.683392.228470@j73g2000cwa.googlegroups.com...
> Hi, I am trying to build a very simple Spartan3 - based FPGA board.
> The idea is to have FPGA + Flash PROM & Jtag header and I/Os.
> Nothing else.
>
> I am running into a problem:
> Using my DMM, VCCAUX & VCCO seem to be shorted to GND.
> At this point I am absolutely sure that they are shorted through the
> Xilinx chip.
>
> Does Xilinx chip need to be programmed with some kind of default
> configuration, before it can even be powered on?
> In other words, should there be some kind of *safety configuration*
> programmed into the Flash PROM, prior to applying power to Xilinx FPGA?
>
> If you have any references, links, suggestions, guidelines for putting
> a single FPGA chip on a board with nothing other than the basics for
> programming it, I would be grateful if you point me to them.
>
> I copied my design from Digilent Spartan3 Starter Kit, getting rid of
> all the *starter kit* peripherals there and just leaving the
> essentials: JTAG header, Flash PROM, FPGA, expansion  I/Os
>
> Thanks!
> 



Article: 102868
Subject: Re: Building a board with Spartan 3 FPGA.
From: "Telenochek" <interpasha@hotmail.com>
Date: 22 May 2006 11:21:23 -0700
Links: << >>  << T >>  << A >>
-----------------------------------
doing a s3 proto is rather simple, just connect the GND, VCCxx, JTAG
and pull PROG_B thats it
------------------------------------
That's exactly whats on my board + Flash PROM.

On a fully working Spartan3 board from Digilent,
when the board is not powered up:
the VCCO and VCCAUX appear to be shorted to GND (using DMM).
Of course, this could be due to low impedance between supplies and GND,
due to high Iq through the FPGA. But the board is not powered at all.

How do I debug the shorts if I can't even test for them?


Article: 102869
Subject: Re: xilinx pricing discrepancy
From: "Anonymous" <someone@microsoft.com>
Date: Mon, 22 May 2006 18:21:39 GMT
Links: << >>  << T >>  << A >>
Is it possible to get Xilinx to just tell me what the cheapest V4FX device
is possible for 100k units? The device also has to be easy path compatible
as the eventual product is going to be very price sensitive.

Thanks,
Clark

"John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk> wrote in
message news:1148315613.24277.0@demeter.uk.clara.net...
> Headline pricing often has a date sometime in the future against it. There
> are also price variations against package. The headline price will also
> usually be done on the cheapest package and speed grade. I'm guessing that
> you are using the FF668 package by the prices you have.
>
> What you need to do is to talk to your FAE who may be either at
distributor
> level or if you are a big account it will likely be Xilinx or the country
> rep. Depends where you are and who you are. Alternativly some Xilinx
> partners like ourselves, or even large assembler operations, can be used
as
> negotiators of price as we know reasonably well how to drive the system
and
> what can be attained in a project with a tight budget.
>
> John Adair
> Enterpoint Ltd. - Home of Raggedstone1. The Low Cost Spartan-3 Development
> Board.
> http://www.enterpoint.co.uk
>
>
> "Anonymous" <someone@microsoft.com> wrote in message
> news:Ajlcg.3653$Qg.2028@tornado.southeast.rr.com...
> > Can anyone explain why the quotes that we are getting from Xilinx are 4x
> > their own marketing materials? For example this article
> >
> > http://www.ednasia.com/article.asp?articleid=1913
> >
> > says that quantity 50k of an fx12 would be $29.99. We are being quoted
> > about
> > $112 for 100k units. Most of the other device prices we are getting are
> > also
> > about 4x what I suspect they must be considering the applications they
are
> > going into.
> >
> > Are we talking to the wrong people? Is there a direct xilinx contact to
> > negotiate large volume deals.
> >
> > Thanks,
> > Clark
> >
> >
>
>



Article: 102870
Subject: Re: Building a board with Spartan 3 FPGA.
From: "John_H" <johnhandwork@mail.com>
Date: Mon, 22 May 2006 18:42:15 GMT
Links: << >>  << T >>  << A >>
1) Before you assemble, check for supply and ground shorts.
Too late?
2) Do a visual inspection of soldered TQFP leads with a stereo microscope
If you're doing a BGA instead, it's a much different issue.
Xray inspection of assembled boards would be needed to troubleshoot BGA 
shorts; this inspection is available from most professional assemblers.

"Telenochek" <interpasha@hotmail.com> wrote in message 
news:1148322083.396270.227300@38g2000cwa.googlegroups.com...
> -----------------------------------
> doing a s3 proto is rather simple, just connect the GND, VCCxx, JTAG
> and pull PROG_B thats it
> ------------------------------------
> That's exactly whats on my board + Flash PROM.
>
> On a fully working Spartan3 board from Digilent,
> when the board is not powered up:
> the VCCO and VCCAUX appear to be shorted to GND (using DMM).
> Of course, this could be due to low impedance between supplies and GND,
> due to high Iq through the FPGA. But the board is not powered at all.
>
> How do I debug the shorts if I can't even test for them?
> 



Article: 102871
Subject: Re: Building a board with Spartan 3 FPGA.
From: "Antti" <Antti.Lukats@xilant.com>
Date: 22 May 2006 11:43:37 -0700
Links: << >>  << T >>  << A >>
http://xilant.com/content/view/35/2/

EASY - the board as above DOES work, I used it for SPI indirect
programming testing

Antti


Article: 102872
Subject: Re: Building a board with Spartan 3 FPGA.
From: "Telenochek" <interpasha@hotmail.com>
Date: 22 May 2006 11:51:01 -0700
Links: << >>  << T >>  << A >>
> 1) Before you assemble, check for supply and ground shorts.
> Too late?

Its not too late, I have multiple boards for this.
Without FPGA  (TQFP144) chip on board, there aren't any shorts.
I have checked connections with stereo microscope, no solder bridges.

I think the next thing I will try is to assemble everything, but the
FPGA
and verify that everything without FPGA is fine.
Trouble is that FPGA will be harder to solder with all the stuff on the
board already.
But doable.

Thanks!


Article: 102873
Subject: Re: Building a board with Spartan 3 FPGA.
From: "Telenochek" <interpasha@hotmail.com>
Date: 22 May 2006 11:57:10 -0700
Links: << >>  << T >>  << A >>
EASY - the board as above DOES work, I used it for SPI indirect
programming testing
-----------------------------
Very nice but where is the schematic for it?

Thanks!


Article: 102874
Subject: Re: xilinx pricing discrepancy
From: "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk>
Date: Mon, 22 May 2006 20:03:42 +0100
Links: << >>  << T >>  << A >>
You really need to get a FAE involved and to check both the Easypath 
availability and the charges and NRE for using those parts too. The 100K 
volume market is for Xilinx, and all the other vendors for that matter, a 
market that they are seriously interested in. My educated guess is that the 
SF363 will be the package that is cheapest but there will be other 
considerations such as board layout that you should consider on this package 
at the same time. I also don't know Easypath status for that package so that 
needs to be checked.

John Adair
Enterpoint Ltd. - Home of Broaddown2. The Ultimate Spartan3 Development 
Board.
http://www.enterpoint.co.uk


"Anonymous" <someone@microsoft.com> wrote in message 
news:Tincg.3668$Qg.540@tornado.southeast.rr.com...
> Is it possible to get Xilinx to just tell me what the cheapest V4FX device
> is possible for 100k units? The device also has to be easy path compatible
> as the eventual product is going to be very price sensitive.
>
> Thanks,
> Clark
>
> "John Adair" <removethisthenleavejea@replacewithcompanyname.co.uk> wrote 
> in
> message news:1148315613.24277.0@demeter.uk.clara.net...
>> Headline pricing often has a date sometime in the future against it. 
>> There
>> are also price variations against package. The headline price will also
>> usually be done on the cheapest package and speed grade. I'm guessing 
>> that
>> you are using the FF668 package by the prices you have.
>>
>> What you need to do is to talk to your FAE who may be either at
> distributor
>> level or if you are a big account it will likely be Xilinx or the country
>> rep. Depends where you are and who you are. Alternativly some Xilinx
>> partners like ourselves, or even large assembler operations, can be used
> as
>> negotiators of price as we know reasonably well how to drive the system
> and
>> what can be attained in a project with a tight budget.
>>
>> John Adair
>> Enterpoint Ltd. - Home of Raggedstone1. The Low Cost Spartan-3 
>> Development
>> Board.
>> http://www.enterpoint.co.uk
>>
>>
>> "Anonymous" <someone@microsoft.com> wrote in message
>> news:Ajlcg.3653$Qg.2028@tornado.southeast.rr.com...
>> > Can anyone explain why the quotes that we are getting from Xilinx are 
>> > 4x
>> > their own marketing materials? For example this article
>> >
>> > http://www.ednasia.com/article.asp?articleid=1913
>> >
>> > says that quantity 50k of an fx12 would be $29.99. We are being quoted
>> > about
>> > $112 for 100k units. Most of the other device prices we are getting are
>> > also
>> > about 4x what I suspect they must be considering the applications they
> are
>> > going into.
>> >
>> > Are we talking to the wrong people? Is there a direct xilinx contact to
>> > negotiate large volume deals.
>> >
>> > Thanks,
>> > Clark
>> >
>> >
>>
>>
>
> 





Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search