Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 20325

Article: 20325
Subject: Re: Conditional compilation in VHDL?
From: Ray Andraka <randraka@ids.net>
Date: Sat, 05 Feb 2000 01:53:26 GMT
Links: << >>  << T >>  << A >>
I've been doing recursive calls using the IF generates for things like parameterized
barrel shifts and adder trees.  Both Aldec v3.6 and Modelsim 5.3c compile and
simulate it without any complaints (Earlier versions of Aldec didn't, but to their
credit, it got fixed a few weeks after the first time I called them about it).
Synplicity 5.2.2 is happy most of the time, although it is not handling an array of
integers passed in as a generic nor one declared locally as a constant-in that case
it complains that it can't delete the binding for variable <constant or generic
name>.  It does pass and handle individual integers in generics and as constants
just fine though.  Interesting thing is the synplicity tech support doesn't seem to
be aware of or see a use for recursive calls.

David Jones wrote:

> What is not well known is that generate can be used with "if":
>
>     if (SIZE = 2) generate
>       RESULT <= A and B;
>     end generate;
>
>     if (SIZE > 2) generate
>       ULO: MYBLOCK port map(
>           ...
>       );
>       UHI: MYBLOCK port map(
>           ...
>       );
>       RESULT <= ALO and BLO;
>     end generate;
>
> You can actually define recursive logic this way.  ModelSim and Synopsys DC both
> support it.  ModelSim may give you a warning that unresolved signals may have
> multiple drivers, since it is not smart enough to realize that the two
> conditionals will not be true at the same time, at compile time.

--
-Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
401/884-7930     Fax 401/884-7950
email randraka@ids.net
http://users.ids.net/~randraka


Article: 20326
Subject: Re: Conditional compilation in VHDL?
From: person@earth.planet (A person)
Date: Fri, 04 Feb 2000 19:17:43 -0800
Links: << >>  << T >>  << A >>
I needed to do something similar, so I have some of my VHDL code pass
through the CPP.  The neat thing now is that I can have #define and #if in
the code.  However, this is no longer VHDL and needs to pass through the
CPP before the code will go through any VHDL tool.  However, if you are
using some scripting (makefile), it is usually transparent.  Pure VHDL
code (without anything funny after the -- comment) pass through just fine
also.

-Edwin

In article <389b0387.29370349@news.dial.pipex.com>,
eml@riverside-machines.com.NOSPAM wrote:

>On Fri, 04 Feb 2000 16:01:09 GMT, "Mikhail Matusov"
><matusov@ANNTIsquarepegSPPAMM.ca> wrote:
>
>>Hi
>>
>>I am struggling to understand how I am supposed to debug VHDL code. How, for
>>example, can I turn off part of the code. There are no block comments, no
>>#ifdef's and #endif's as in C. I thought "generate" statement could do it
>>but it seems that it's there for different purpose.
>>
>>So, how do you guys do this?
>>
>>Thanks,
>>Mikhail Matusov
>

>2) if you know your tools, and you're not relying on a gui, use an
>external pre-processor, such as m4 or cpp
>

>evan
Article: 20327
Subject: Re: PMUX primitive in Sinplify
From: Ken McElvain <ken@synplicity.com>
Date: 04 Feb 2000 22:19:01 EST
Links: << >>  << T >>  << A >>
The pmux rtl component in Synplify is a "parallel" mux.  Each input has
it's own
enable.  There are no priorities.   The logic driving the enables will
not enable more than one
input (except possibly in a don't care condition).  If no enable is on,
then
that is also a don't care condition.

boniolopez@my-deja.com wrote:

> Hi friends,
> I am evaluating  Synplify now.
> They have very good RTL viewer.
> But one of the components, I have found in there
> I can't find in XILINX primitives.
> I speak about PMUX. I think this is a priority
> MUX.  If the E input =1 the output is
> equal  D input (Am I right?). But what will be if
> two E inputs are one?
> Can anybody send me the LUT or describe the
> function of this primitive.
>
> Sent via Deja.com http://www.deja.com/
> Before you buy.

Article: 20328
Subject: Re: Xilinx "WebCD" gripes
From: John Larkin <jjlarkin@highlandSnipSniptechnology.com>
Date: Sat, 05 Feb 2000 10:19:34 -0800
Links: << >>  << T >>  << A >>
On Fri, 04 Feb 2000 23:22:58 GMT, Ray Andraka <randraka@ids.net>
wrote:

|Hey,  anyone else frustrated with the Xilinx webcd?  I liked the old
|applinx format where they had recognizable names and file structure on
|the disk (and PDF files).  It was nice because I could use it easily as
|a databook without having to install extra stuff on the PC or having to
|be online.  Today I went to look up device pinouts on the latest CD, and
|it told me it was expired and forced me on-line.  Once online, it kept
|getting data off the CD instead of the latest pages from the xilinx
|website (for example, I couldn't get to the spartanII stuff on the web
|site until I removed the CD).
|
|Look Xilinx, If I want to go online to find the stuff, I don't want to
|wrestle with the CD, and If I'm looking for something on the CD (like at
|a customer's site), I don't want to have to go on line or use special
|software to look it up.  Lets pick a format and stick with it.


Ray,

no, I haven't tried it. I won't use any databook-on-CD that requires
an installation. These things too-often mess up Windows, which is
fragile enough already.

Right: a decent CD would have just PDF data files, with a short-form
catalog or index, also as a PDF.

Lately, I just download the PDFs that I want into my own 'databook
library' (unless, of course, the vendor insists that I register first:
in that case, I just buy somebody else's parts).

(Actually, I still like hardcopy databooks. Their random-access time
is still about an order of magnitude faster than a web access or CD,
and you can't stick little post-it notes inside a PDF file.)

John

Article: 20329
Subject: Re: Xilinx "WebCD" gripes
From: rk <stellare@nospam.erols.com>
Date: Sat, 05 Feb 2000 13:33:42 -0500
Links: << >>  << T >>  << A >>
John Larkin wrote:

> Ray,
>
> no, I haven't tried it. I won't use any databook-on-CD that requires
> an installation. These things too-often mess up Windows, which is
> fragile enough already.

I didn't install it either ... Windoze computers have enough problems and I
don't have time to mess around with stuff that's not needed.  Just asking for
trouble.

============================================

> Right: a decent CD would have just PDF data files, with a short-form
> catalog or index, also as a PDF.

Or, perhaps, an .htm index for navigation.

============================================

> Lately, I just download the PDFs that I want into my own 'databook
> library' (unless, of course, the vendor insists that I register first:
> in that case, I just buy somebody else's parts).
>
> (Actually, I still like hardcopy databooks. Their random-access time
> is still about an order of magnitude faster than a web access or CD,
> and you can't stick little post-it notes inside a PDF file.)

Actually, if you have the writer, you can put the notes in.

Have a good day,

rk

Article: 20330
Subject: Re: Xilinx "WebCD" gripes
From: Rickman <spamgoeshere4@yahoo.com>
Date: Sat, 05 Feb 2000 14:10:58 -0500
Links: << >>  << T >>  << A >>
John Larkin wrote:
> no, I haven't tried it. I won't use any databook-on-CD that requires
> an installation. These things too-often mess up Windows, which is
> fragile enough already.
> 
> Right: a decent CD would have just PDF data files, with a short-form
> catalog or index, also as a PDF.
> 
> Lately, I just download the PDFs that I want into my own 'databook
> library' (unless, of course, the vendor insists that I register first:
> in that case, I just buy somebody else's parts).
> 
> (Actually, I still like hardcopy databooks. Their random-access time
> is still about an order of magnitude faster than a web access or CD,
> and you can't stick little post-it notes inside a PDF file.)
> 
> John

I am in the same camp as you guys. I hate having a company force on me a
method of accessing their data sheets. This is not unusual. I think that
it may be one of those things that are seen by a company as "keeping up
with the competition". In reality I think it is a case of jumping off a
cliff just because everyone else is doing it. 

About the post it notes, I wonder why Adobe did not include that
capability with the PDF viewer. This would provide a significant
advantage to using PDF files (although it doesn't seem to need any help)
and would still require you to buy the writer software to publish your
own data sheets. That is one reason why I always get hard copy of data
sheets of any size. I like being able to write on the pages and I don't
really feel like being my own publishing house. 

Some vendors have almost dropped the hard copy data sheet. I once could
not get a data sheet to print fully and had to ask the local rep to run
off a copy for me. Their copy did not print fully either and I asked for
a second copy. This was still short some 12 pages. So I called the
factory and after about a week of phone tag and messages, I was told
that they don't print hard copy. They did finally print off a copy and
mailed it to me, but this was a real PITA, just because they depended
totally on a "new" technology that still has problems. It seems like it
would be smart to keep the hard copy thing alive for a while. 

Then at the other end of the spectrum, Zilog was not providing very many
data sheets in electronic form at all. They also required that you buy
the data books! They did reverse this policy about 6 months ago and they
are now producing more electronic data sheets. But most of them are
hastily scanned versions of the printed data sheets. Pretty amazing for
a "high tech" company! 



-- 

Rick Collins

rick.collins@XYarius.com

remove the XY to email me.



Arius - A Signal Processing Solutions Company
Specializing in DSP and FPGA design

Arius
4 King Ave
Frederick, MD 21701-3110
301-682-7772 Voice
301-682-7666 FAX

Internet URL http://www.arius.com
Article: 20331
Subject: Re: Xilinx Virtex Decoupling Cap Guidelines
From: Rickman <spamgoeshere4@yahoo.com>
Date: Sat, 05 Feb 2000 14:15:41 -0500
Links: << >>  << T >>  << A >>
Andreas Heiner wrote:
> I had done the decoupling the same way. Put a cap as near as possible to the
> device. Well this works, but I've needed a lot of decoupling caps. With the
> wideband decoupling scheme it is not longer neccessary to place the cap's
> near to the devices. You will get a decoupling radius. All parts inside
> these radius are correctly decoupled. You can believe me that since using
> this scheme every board works fine and we have no problems anymore with our
> EMI tests. The theory of this wideband decoupling is really hard and I
> haven't understand it completly up to now. The decoupling works fine up to a
> frequency of 600-1000MHz. The maximum decoupling frequency depends on the
> size of the island's.
> 
> >
> > Also, what do you mean by creating an "island" for the device? Are you
> > talking about the ground plane?
> 
> No, the ground plane must be complete. Just the power planes will be
> seperated between the island. You just have small connections (depending on
> the power consumption inside the island). You have to seperate your board to
> some rectangular areas (this are the island's) and have to calculate the
> caps. The decoupling radius must conclude the whole area. If this is not
> possible, you have to change the seperation.
> 
> Andreas Heiner


Maybe this is something I should look into. The placement of caps is
really a PITA. The board layout people don't like my telling them that
the caps are not close enough and they do take up quite a bit of space
around the chip or on the back side of the boards. I'll look into this. 

I take it that there are no other references on how this works that I
could look at?


-- 

Rick Collins

rick.collins@XYarius.com

remove the XY to email me.



Arius - A Signal Processing Solutions Company
Specializing in DSP and FPGA design

Arius
4 King Ave
Frederick, MD 21701-3110
301-682-7772 Voice
301-682-7666 FAX

Internet URL http://www.arius.com
Article: 20332
Subject: Re: Xilinx "WebCD" gripes
From: rk <stellare@nospam.erols.com>
Date: Sat, 05 Feb 2000 14:53:27 -0500
Links: << >>  << T >>  << A >>
Rickman wrote:

> > (Actually, I still like hardcopy databooks. Their random-access time
> > is still about an order of magnitude faster than a web access or CD,
> > and you can't stick little post-it notes inside a PDF file.)
> >
> > John
>
> I am in the same camp as you guys. I hate having a company force on me a
> method of accessing their data sheets. This is not unusual. I think that
> it may be one of those things that are seen by a company as "keeping up
> with the competition". In reality I think it is a case of jumping off a
> cliff just because everyone else is doing it.

I really like a good hardcopy data book.  One can just get comfortable,
browse it, read it, etc.  It's very convenient, especially for a new package
that is complex, where you want to read quickly, see what it has to offer,
and then go back and read in more detail.  Of course, there is cr*ppy
hardcopy documentation and really good stuff; the same for CD-ROM.  It looks
like Modeltech has put a lot of thought and effort into their electronic
documentation and it works well.  Once past the the initial skim stage, it
is perhaps faster to look up something, out of their electronic reference,
faster than the book.  Very well placed hotlinks.

What can be really annoying about electronic documentation is when there is
no way to print out a large section or the entire thing and one must sit
there printing page by page by page by page by ... - a certain CAE company
comes to mind, they finally did put out hardcopy documentation again, and
that wasn't much better then nothing.

Why do these companies put out electronic documentation on CD-ROM?  Well,
having had large things printed recently and having had CD-ROMs made I would
guess that cost is the issue.  Even in moderate volumes, say 1,000 copies,
it only costs $1 replication charge per CD-ROM.  Hardcopy is much more
expensive, even for low volumes, where CD-ROM replication is about $5 per
disk.  In my other life, for a conference I put on, we had almost 200 people
there and gave them their choice of having the proceedings in hardcopy or
CD-ROM.  It was about 15 or 20 to 1 in favor of CD-ROM.  The following year
we just put out CD-ROM and their have been no objections.

----------------------------------------------------------------------
rk                               The ability to carry out scientific
stellar engineering, ltd.        observations at a distance is
stellare@erols.com.NOSPAM        developing so rapidly that I don't
Hi-Rel Digital Systems Design    see any unique role for man in
                                 planetary exploration.
                                 -- Gordon MacDonald, National
                                 -- Academy of Sciences, 1968


Article: 20333
Subject: Re: Conditional compilation in VHDL?
From: "Clyde R. Shappee" <clydes@world.std.com>
Date: Sat, 5 Feb 2000 21:38:33 GMT
Links: << >>  << T >>  << A >>
Mikhail,

I absolutely love the Bhasker syntax book.  It is in my top two VHDL book
list.

As for commenting out code easily, I use the Cypress Warp Tool for its editor.
It highlights keywords nicely and has a button that lets you comment out or
un-commment out code that has been selected.

Clyde

Mikhail Matusov wrote:

> Mike Treseler <tres@tc.fluke.com> wrote in message
> news:389B1405.8529A3F8@tc.fluke.com...
> >
> > I define some boolean constants and jump around them.
>
> Can you tell me please how exactly you are jumping? I am kinda missing a
> syntax book at the moment so I am not sure what kind of "goto" statement I
> could use... Generate statement as it was pointed out in another post
> requires code to be syntactically correct and what is worse I believe it
> works only inside of processes. If it is not generate then what is it?
>
> BTW is 'A Guide to VHDL Syntax' by J. Bhasker any good? I am looking at
> buying it from amazon.com or another e-trader but I would be happy to hear
> more opinions on this matter before I have spent money...
>
> Thanks,
> Mikhail Matusov

Article: 20334
Subject: Re: Xilinx "WebCD" gripes
From: Ray Andraka <randraka@ids.net>
Date: Sat, 05 Feb 2000 23:32:05 GMT
Links: << >>  << T >>  << A >>


rk wrote:

> John Larkin wrote:
>
> > Ray,
> >
> > no, I haven't tried it. I won't use any databook-on-CD that requires
> > an installation. These things too-often mess up Windows, which is
> > fragile enough already.
>
> I didn't install it either ... Windoze computers have enough problems and I
> don't have time to mess around with stuff that's not needed.  Just asking for
> trouble.
>
> ============================================
>
> > Right: a decent CD would have just PDF data files, with a short-form
> > catalog or index, also as a PDF.
>
> Or, perhaps, an .htm index for navigation.
>
> ============================================
>
> > Lately, I just download the PDFs that I want into my own 'databook
> > library' (unless, of course, the vendor insists that I register first:
> > in that case, I just buy somebody else's parts).
> >
> > (Actually, I still like hardcopy databooks. Their random-access time
> > is still about an order of magnitude faster than a web access or CD,
> > and you can't stick little post-it notes inside a PDF file.)
>
> Actually, if you have the writer, you can put the notes in.

But not in the file on the CD, you gotta save the modified copy somewhere else.
I do like having the electronic data books, I find it alot more convenient when
I'm away from my office.  Even in my office, it's usually my preferred method
since my desk is already too cluttered with notebooks, data books, mail etc.  The
old applinx CDs were great...no install required, easy to find the stuff and they
contained not only the data books but also had the appnotes and other stuff on
them.

HELLO XILINX, do you hear all this.  S**tcan the stuff you need to install and
give us plain old PDFs like you used to do.  The latest Applinx disk is not very
useful for much anything but a high tech frisbee.

>
>
> Have a good day,
>
> rk

--
-Ray Andraka, P.E.
President, the Andraka Consulting Group, Inc.
401/884-7930     Fax 401/884-7950
email randraka@ids.net
http://users.ids.net/~randraka


Article: 20335
Subject: Alternate to Altera Flex family
From: whitmoreg@my-deja.com
Date: Sun, 06 Feb 2000 04:29:48 GMT
Links: << >>  << T >>  << A >>
Does anyone know of a cheaper alternate that is functionally compatible
with the altera epf81188 series of fpga's.


Sent via Deja.com http://www.deja.com/
Before you buy.
Article: 20336
Subject: Re: Alternate to Altera Flex family
From: "Carlhermann Schlehaus" <carlhermann.schlehaus@t-online.de>
Date: Sun, 6 Feb 2000 10:38:28 +0100
Links: << >>  << T >>  << A >>
Hi,

I just didn't watch the prices for the 8K family
as even the 81500 is to small for my designs.
But AFAIK the 6K familiy has very low prices.
As the 8K family was a very great device some years
ago it will be replaced by newer devices (6K, 10K, APEX).

Greetings, Carlhermann


Article: 20337
Subject: Re: Which is the best HDL book ?
From: jonathan@canuck.com (Victor the Cleaner)
Date: 6 Feb 2000 16:05:50 GMT
Links: << >>  << T >>  << A >>
:   davidtle@flash.net wrote:
: > Please help to give any info for the best verilog book for self-study.

Really seems to depend on whether your primary interest is synthesis
or simulation.

Jonathan

Article: 20338
Subject: Re: Spartan 2 & Foundation
From: David Dye <davidd@xilinx.com>
Date: Sun, 06 Feb 2000 10:34:46 -0700
Links: << >>  << T >>  << A >>
Yup.  For the 2.1i release we have been providing service packs at the beginning of
each month.  The Foundation Express portion (the synthesis engine itself) has not
changed since SP2 when it was updated to FPGA Express 3.3, but the Implementation
Tools and Data Files packages are up to #5 as of the second of February.  These
files include data files and partslists that update Foundation to include
Spartan-II.  Click here: http://www.xilinx.com/support/techsup/sw_updates/ and
select Foundation 2.1i or Alliance 2.1i.

thanks,
david.


David Dye
Xilinx Technical Marketing
Boulder, Colorado

Ray Andraka wrote:

> For Alliance 2.1i it is service pack #4.  You sure there's a #5 for foundation?
>
> David Dye wrote:
>
> > Nicolas (and everyone),
> >
> > Spartan-II support through Foundation Express was not available until
> > Foundation 2.1i Service Pack #5.  This service pack includes data files and
> > parts lists that upgrade both the HDL (Express) and Schematic flows through
> > Foundation.
> >
> > http://www.xilinx.com/products/spartan2/ has everything you want to know
> > about this new Xilinx FPGA family.  Follow the links under "Software
> > Support" for all the information about upgrading your Foundation software to
> > support Spartan-II.
> >
> > thanks,
> > david.
> >
> > David Dye
> > Xilinx Technical Marketing
> > Boulder, Colorado
> >
> > p.s. Nicolas, are you still having problems with RAM instantiation in
> > Foundation Express that you reported on Monday?  If so, our hotline should
> > be able to help you out.
> >
> > Nicolas Matringe wrote:
> >
> > > Hi
> > > I am trying to implement a design in a Spartan 2 device. I (think I)
> > > updated Foundation to enable these devices but I still don't manage to
> > > do it.
> > > When I want to synthesize (with FPGA Express) my design, I can not
> > > choose the Spartan2 family (the family is not in the list). A Xilinx FAE
> > > told me to choose Virtex family for synthesis and then Spartan2 for
> > > mapping and P&R but I don't know where to do this.
> > > If anyone can help...
> > > Thanks in advance
> > >
> > > Nicolas MATRINGE           DotCom S.A.
> > > Conception electronique    16 rue du Moulin des Bruyeres
> > > Tel 00 33 1 46 67 51 11    92400 COURBEVOIE
> > > Fax 00 33 1 46 67 51 01    FRANCE
>
> --
> -Ray Andraka, P.E.
> President, the Andraka Consulting Group, Inc.
> 401/884-7930     Fax 401/884-7950
> email randraka@ids.net
> http://users.ids.net/~randraka

Article: 20339
Subject: Availability of Virtex E Series
From: gzs@clark.net (George)
Date: Sun, 06 Feb 2000 19:21:39 GMT
Links: << >>  << T >>  << A >>
We're recently started a project for which the larger (either 1600 or
2000) Virtex S series devices are a good fit for.  However, the best
lead times we are seeing for these devices (regardless of speed) is
~12 weeks.  Are these parts actually shipping to anybody?  Our lead
times are coming from the "normal" distributors.  Any better way to
get them faster?  How about cheaper too!

Thanks.

-George
 gzs@clark.net



Article: 20340
Subject: FG1156 package for non-E XCV1000
From: gzs@clark.net (George)
Date: Sun, 06 Feb 2000 19:23:25 GMT
Links: << >>  << T >>  << A >>
I've heard a rumor that there is or will soon be a version of
the XCV1000 (non-E series) Virtex in the FG1156 package with an
expanded number of user IO pins.  No info about it on the Xilinx
web site.  Does anyone have any additional info?

Thanks.

-George
 gzs@clark.net

Article: 20341
Subject: Re: Availability of Virtex E Series
From: gzs@clark.net (George)
Date: Sun, 06 Feb 2000 19:36:35 GMT
Links: << >>  << T >>  << A >>
> We're recently started a project for which the larger (either 1600 or
> 2000) Virtex S series devices are a good fit for.  However, the best

Sorry.  Virtex E series devices.

Article: 20342
Subject: CFP --- CHES 200
From: Christof Paar <christof@ece.wpi.edu>
Date: Sun, 6 Feb 2000 17:43:12 -0500
Links: << >>  << T >>  << A >>
We apolgize for multiple mailings. - Christof

***********************************************************************

      Workshop on Cryptographic Hardware and Embedded Systems 2000
                            (CHES 2000)
              http://www.ece.WPI.EDU/Research/crypt/ches

                  Worcester Polytechnic Institute
                  Worcester, Massachusetts, USA
                       August 17 & 18, 2000

                      Second Call for Papers

General Information

The focus of this workshop is on all aspects of cryptographic
hardware and embedded system design. The workshop will be a forum of
new results from the research community as well as from the industry.
Of special interest are contributions that describe new methods for
efficient hardware implementations and high-speed software for
embedded systems, e.g., smart cards, microprocessors, DSPs, etc. We
hope that the workshop will help to fill the gap between the
cryptography research community and the application areas of
cryptography. Consequently, we encourage submission from academia,
industry, and other organizations. All submitted papers will be
reviewed.

This will be the second CHES workshop. The first workshop, CHES '99,
was held at WPI in August of 1999 and was very well received by
academia and industry. There were 170 participants, more than half of
which were from outside the United States.

The topics of interest include but are not limited to:

   * Computer architectures for public-key cryptosystems
   * Computer architectures for secret-key cryptosystems
   * Reconfigurable computing and applications in cryptography
   * Cryptographic processors and co-processors
   * Modular and Galois field arithmetic architectures
   * Tamper resistance on the chip and board level
   * Architectures for smart cards
   * Tamper resistance for smart cards
   * Efficient algorithms for embedded processors
   * Special-purpose hardware for cryptanalysis
   * Fast network encryption
   * True and pseudo random number generators

Mailing List

If you want to receive emails with subsequent Call for Papers and
registration information, please send a brief mail to
ches@ece.orst.edu.

Instructions for Authors

Authors are invited to submit original papers. The preferred
submission form is by electronic mail to ches@ece.orst.edu. Papers
should be formatted in 12pt type and not exceed 12 pages (not
including the title page and the bibliography). The title page should
contain the author's name, address (including email address and an
indication of the corresponding author), an abstract, and a small
list of key words. Please submit the paper in Postscript or PDF. We
recommend that you generate the PS or PDF file using LaTeX, however,
MS Word is also acceptable. All submissions will be refereed.

Only original research contributions will be considered. Submissions
must not substantially duplicate work that any of the authors have
published elsewhere or have submitted in parallel to any other
conferences or workshops that have proceedings.

Workshop Proceedings

The post-proceedings will be published in Springer-Verlag's Lecture
Notes in Computer Science (LNCS) series. Notice that in order to be
included in the proceedings, the authors of an accepted paper must
guarantee to present their contribution at the workshop.

Important Dates

 Submission Deadline:          April 15th, 2000.
 Acceptance Notification:      June 15th, 2000.
 Final Version due:            August 1st, 2000.
 Workshop:                     August 17th & 18th, 2000.
 
NOTES The CHES dates August 17 & 18 are the Thursday & Friday 
       preceding CRYPTO 2000 which starts on August 20.

Invited Speakers

Alfred Menezes, University of Waterloo, Canada.
		"Elliptic curve cryptography in constrained enviroments"

David Naccache, Gemplus, France.
		"How to explain side channel leakage to your kids"

Program Chairs

All correspondence and/or questions should be directed to either of the
Program Chairs:

 Cetin Kaya Koc                       Christof Paar
 Dept. of Electrical & Computer       Dept. of Electrical & Computer
 Engineering                          Engineering
 Oregon State University              Worcester Polytechnic Institute
 Corvallis, Oregon 97331, USA         Worcester, MA 01609, USA
 Phone: +1 541 737 4853               Phone: +1 508 831 5061
 Fax: +1 541 737 8377                 Fax: +1 508 831 5491
 Email: Koc@ece.orst.edu              Email: christof@ece.wpi.edu

Program Committee

Gordon Agnew,  University of Waterloo, Canada
Wayne Burleson,  University of Massachusetts at Amherst, USA
Kris Gaj,  George Mason University, USA
Peter Kornerup,  Odense University, Denmark
Arjen Lenstra,  Citibank, USA
Jean-Jacques Quisquater,  Universite Catholique de Louvain, Belgium
Patrice Roussel,  Intel Corporation, USA
Christoph Ruland,  University of Siegen, Germany
Joseph Silverman,  Brown University and NTRU Cryptosystems, Inc., USA
Colin Walter,  Computation Department - UMIST, U.K.
Michael Wiener,  Entrust Technologies, Canada

Location

WPI is in Worcester, the second largest city in New England. The city
is 80 km (50 miles) west of Boston and 280 km (175 miles) north-east
of New York City.

Worcester is home to a wealth of cultural treasures, many of which
are just a short distance from WPI. These include the historic
Higgins Armory Museum, which houses one of the world's largest
collections of armor; the EcoTarium (formerly New England Science
Center), one of the only museums in the country dedicated to
environmental education; and the beautifully restored Mechanics Hall,
one of America's finest concert halls. The Worcester Art Museum,
holding one of the nation's finest collections, and the
world-renowned American Antiquarian Society, with the largest
collection of items printed during the nation's colonial period, are
within two blocks of the WPI campus. Worcester is also well known for
its ten colleges, which cooperate through the Colleges of Worcester
Consortium.

Recreation areas within easy driving distance include Boston and Cape
Cod to the east, the White and Green mountains to the north, and the
Berkshires to the west.

August weather in New England is usually very pleasant with average
temperatures of 20 C (70 F).


Workshop Sponsors

This workshop has received generous support from cv cryptovision,
Intel, secunet, and SITI.  The organizers express their sincere
thanks.

Article: 20343
Subject: Re: Xilinx "WebCD" gripes
From: murray@pa.dec.com (Hal Murray)
Date: 6 Feb 2000 23:38:49 GMT
Links: << >>  << T >>  << A >>

> HELLO XILINX, do you hear all this.  S**tcan the stuff you need to install and
> give us plain old PDFs like you used to do.  The latest Applinx disk is not very
> useful for much anything but a high tech frisbee.

Is most of the info on the CD normal pdf files?  Does the new stuff
do anything useful?  If so, it should be possible to have both modes.

Run the installer if you want that mode.  Bypass it and browse
directly if you don't want it "helping" you.

-- 
These are my opinions, not necessarily my employers.
Article: 20344
Subject: Re: Looking for a small, fast CPU core for FPGA
From: Wiggo Olufsen <wiggo.olufsen@online.no>
Date: Mon, 07 Feb 2000 02:31:48 +0100
Links: << >>  << T >>  << A >>
Have you had a look at the DLX 32-bit RISC ?

Wiggo.

Irit wrote:

> Hello,
> I am looking for a CPU core which can be placed in an FPGA. It should
> have the following features:
>
> 1. 32-bit registers and ALU, integer only; don't need multiply or
> divide.
>
> 2. Fast enough to run at 66 MHz on a Virtex or Apex FPGA.
>
> 3. Not too big (a single instance should fit in less than 100K system
> gates, whatever it means).
>
> 4. Possible to have more than one instance in a single chip; not locked
> to specific cells or I/O pins.
>
> 5. Must have code development tools (assembler, linker, debugger)
> available; C compiler is nice-to-have but not mandatory.
>
> 6. Preferably synthesizable VHDL or Verilog; if available as netlist or
> routed block, must have a VHDL simulation model.
>
> 7. Can be converted later to an ASIC cell.
>
> I would greatly appreciate any pointers; after all replies (if any) have
> been sent, I will post a summary in the relevant NGs.
>
> Please send replies to my email (assaf_sarfati@yahoo.com) as well as
> posting them; I suspect my NG server either loses posts or deletes them
> after a few minutes.
>
>                Thanks in Advance
>                Assaf Sarfati

Article: 20345
Subject: Where SpartanXL CS280 Pin Locatin
From: "T.Koyama" <basaro@fa2.so-net.ne.jp>
Date: Mon, 7 Feb 2000 12:41:04 +0900
Links: << >>  << T >>  << A >>
Hello. I'm T.Koyama in Japan.

Now I design SpartanXL(XCS40-4CS280) circut,but I can't look for
CS280 Pin Location.
where DIN,INIT,,,etc...

Please teach me..

    Thank You


Article: 20346
Subject: Re: Xilinx "WebCD" gripes
From: rk <stellare@nospam.erols.com>
Date: Sun, 06 Feb 2000 23:38:34 -0500
Links: << >>  << T >>  << A >>
Hal Murray wrote:

> > HELLO XILINX, do you hear all this.  S**tcan the stuff you need to install and
> > give us plain old PDFs like you used to do.  The latest Applinx disk is not very
> > useful for much anything but a high tech frisbee.
>
> Is most of the info on the CD normal pdf files?  Does the new stuff
> do anything useful?  If so, it should be possible to have both modes.
>
> Run the installer if you want that mode.  Bypass it and browse
> directly if you don't want it "helping" you.

Once I found the home page for the CD-ROM, it looks like the www site.

For the previous post, I just noted that you can paste notes with Acrobat; obviously
not with a CD-ROM.  The data part of the CD-ROM is about 500 megabytes, so copying the
whole thing over to the hard disk is not too bad.  That does seem to work ok.
However, the directory and file names are not very descriptive so I couldn't be
selective in what I loaded and I would rather not load the whole thing.  My CD-ROM
reader isn't that fast so I like to have things on the hard disk, which is pretty
quick.

Anyways, I sort of do prefer paper, it's just a nicer format for me to read in most
instances; perhaps I'm just a geezer.  And, as long as there is some shouting going
on, I shall note that one company who did make a data book didn't put the application
notes in it - and some of the application notes are critical!

Have a nice evening,

----------------------------------------------------------------------
rk                               The ability to carry out scientific
stellar engineering, ltd.        observations at a distance is
stellare@erols.com.NOSPAM        developing so rapidly that I don't
Hi-Rel Digital Systems Design    see any unique role for man in
                                 planetary exploration.
                                 -- Gordon MacDonald, National
                                 -- Academy of Sciences, 1968


Article: 20347
Subject: Re: Xilinx "WebCD" gripes
From: Uwe Bonnes <bon@elektron.ikp.physik.tu-darmstadt.de>
Date: 7 Feb 2000 09:17:31 GMT
Links: << >>  << T >>  << A >>
rk <stellare@nospam.erols.com> wrote:
: Hal Murray wrote:


: For the previous post, I just noted that you can paste notes with Acrobat; obviously
: not with a CD-ROM.  The data part of the CD-ROM is about 500 megabytes, so copying the
: whole thing over to the hard disk is not too bad.  That does seem to work ok.
: However, the directory and file names are not very descriptive so I couldn't be
: selective in what I loaded and I would rather not load the whole thing.  My CD-ROM
: reader isn't that fast so I like to have things on the hard disk, which is pretty
: quick.

I always wonder who produces those data book CDs. In most cases tons of
senseless DLL are uploaded to your system ( some old TI data books were
great at this) and files and directories have sensles names.

The recent TI CD has for every PDF file a dirctory of the same name. Argh!

Bye

-- 
Uwe Bonnes                bon@elektron.ikp.physik.tu-darmstadt.de

Institut fuer Kernphysik  Schlossgartenstrasse 9  64289 Darmstadt
--------- Tel. 06151 162516 -------- Fax. 06151 164321 ----------
Article: 20348
Subject: Linux Xilinx Download program
From: Phil Endecott <phil_endecott@spamcop.net>
Date: Mon, 07 Feb 2000 11:06:55 +0000
Links: << >>  << T >>  << A >>
Hi All,

A while ago I asked about downloading Xilinx bitfiles from Linux and got
some useful pointers from this group.  I took Larry Doolittle's port of
the XESS download program as a starting point, but found various
problems.  First, XESS and Xilinx have chosen different pin allocations
for their download cables (I am using the Xilinx Parallel Cable III to
download to a VCC prototyping board).  Second, the XESS code contains
lots of stuff specific to their board for initialising memory and so
on.  So I've reimplemented it, an in the process have achieved a
substantial speedup.  The code is included below in case it is useful to
anyone else.

Regards,

--Phil Endecott.


// Program to download a Xilinx bitfile over a "Parallel cable III"
// from Linux.
// Author: Phil Endecott   phil_endecott@spamcop.net
// This code is in the public domain.
// You use this code at your own risk.  No warranty.
// Algorithm based in part on Larry Doolittle's port of the XESS
// software.

#include <stdio.h>
#include <assert.h>
#include <asm/io.h>
#include <sys/types.h>
#include <unistd.h>
#include <sys/perm.h>
#include <stdlib.h>

const unsigned short base_address=0x378;
//const unsigned short data_reg_address=base_address;
#define data_reg_address base_address

const unsigned char data_reg_default=0x14; // 00011000
const unsigned char din_value=0x01;
const unsigned char cclk_value=0x02;
const unsigned char nprog_value=0x04;


const unsigned char bitstream_field_type=0x65;


static inline void set_pport_data_reg(unsigned char d)
{
  outb(d,data_reg_address);
}

static inline void send_bit(unsigned int d)
{
  set_pport_data_reg(data_reg_default|(d?din_value:0));
  set_pport_data_reg(data_reg_default|(d?din_value:0)|cclk_value);
}

static inline void send_byte(unsigned char d)
{
  send_bit(d&0x80);
  send_bit(d&0x40);
  send_bit(d&0x20);
  send_bit(d&0x10);
  send_bit(d&0x08);
  send_bit(d&0x04);
  send_bit(d&0x02);
  send_bit(d&0x01);
}

static FILE* bitfile;

static void check_eof(void)
{
  assert(!feof(bitfile));
}

static unsigned short get_short(void)
{
  unsigned char byte0, byte1;
  fread(&byte0,1,1,bitfile);
  check_eof();
  fread(&byte1,1,1,bitfile);
  return (byte0<<8)|byte1;
}

static unsigned long get_long(void)
{
  unsigned char byte0, byte1, byte2, byte3;
  fread(&byte0,1,1,bitfile);
  fread(&byte1,1,1,bitfile);
  fread(&byte2,1,1,bitfile);
  check_eof();
  fread(&byte3,1,1,bitfile);
  return (byte0<<24)|(byte1<<16)|(byte2<<8)|byte3;
}

static void skip(int howmuch)
{
  assert(fseek(bitfile,howmuch,SEEK_CUR)==0);
}

static void scan_for_field(unsigned char scan_field_type)
{
  unsigned char field_type;
  int fieldlength;

  while(1){
    check_eof();
    fread(&field_type,1,1,bitfile);
    if(field_type==scan_field_type){
      return;
    }
    fieldlength=get_short();
    skip(fieldlength);
  }
}

static void configure_from_bitfile(void)
{
  int fieldlength;
  int i;
  char* data;

  fieldlength=get_short();
  skip(fieldlength);

  fieldlength=get_short();
  assert(fieldlength==1);
  
  scan_for_field(bitstream_field_type);
  fieldlength=get_long();

  data=malloc(fieldlength);
  assert(data);
  assert(fread(data,1,fieldlength,bitfile)==fieldlength);
  for(i=0;i<fieldlength;i++){
    send_byte(data[i]);
    if((i%1000)==0){
      fprintf(stderr,"*");
    }
  }

  for(i=0;i<4;i++){
    send_bit(0);
  }
  fprintf(stderr,"\n");
}

static void prog_pulse(void)
{
  set_pport_data_reg(data_reg_default&~nprog_value);
  set_pport_data_reg(data_reg_default);
}

int main(int argc,char** argv)
{
  char* bitfile_name;

  // This program needs root priveledges in order to call ioperm, so
  // install it setuid root.  It gives up rootness after calling
  // ioperm.

  assert(geteuid()==0);
  assert(ioperm(base_address,8,1)==0);
  assert(seteuid(getuid())==0);
  assert(geteuid()!=0);

  assert(argc==2);
  bitfile_name=argv[1];
  bitfile=fopen(bitfile_name,"r");
  assert(bitfile);

  prog_pulse();
  configure_from_bitfile();

  fclose(bitfile);
  exit(0);
}
Article: 20349
Subject: Re: Xilinx Virtex Decoupling Cap Guidelines
From: Andreas Heiner <Andreas.Heiner@de.bosch.com>
Date: Mon, 7 Feb 2000 12:47:01 +0100
Links: << >>  << T >>  << A >>

> I take it that there are no other references on how this works that I
> could look at?

Unfortunately I don't know references, because Prof. Dirks has teached that
directly in my company. But I have watched out for some links (but they are
in german, I hope, you are able to read german text).

Look at

http://www.fed.de/0x3e906990_0x00001eee

Short summary, at the end you have a view to the "islands" and the design of
the C-Groups.

I don't have found any web pages in english. Maybe you are able to found
some. I don't know the right word for english. In german it is
"Breitbandentkopplung", so I've translated it to wideband decoupling. But
maybe it called broadband decoupling or something else. I think you can use
other differnt words. If you found something, please inform me. Do you have
ask Prof. Dirks for an english document ?

Andreas Heiner




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search