Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search

Messages from 24625

Article: 24625
Subject: Re: Non-disclosures in job interviews
From: rk <stellare@nospamplease.erols.com>
Date: Tue, 15 Aug 2000 09:06:09 -0400
Links: << >>  << T >>  << A >>
rickman wrote:

> I am interviewing for jobs and I am finding more than one company that
> wants me to sign a non-disclosure (ND). This is disturbing to me since
> it puts me in a difficult position. Let's say I sign a ND with company A
> and take a job with company B who is a direct competitor. I end up
> working on a project similar to the one that company A told me about. So
> because I interviewed with company A I am now liable for a lawsuit?
>
> Is this what a lot of companies are doing now? Are interviewees
> generally willing to sign such agreements?
>
> I had gotten to the point where I decided that I would not "pee in a
> bottle" to conduct an interview. I found that companies were using this
> as a way to reject applicants without giving them a chance to object to
> the drug test results. If you flunk the test because you ate a poppy
> seed roll that morning, you just don't get an offer. No offer, no
> challenges.
>
> Now they want you to sign away the right to work on a competing product
> just to get an interview?

Hi Rickman,

I think that there are several concerns here.  First, as you mention, if you
sign an NDA are you liable to be personally sued?  Obviously, that's a
question for a lawyer.  Would that be a state law or federal?  Some of the
other posts have comments about what it takes to be successfully sued; of
course, you lose even if you win a case, as you have to go through the
process.

Another consideration, if you sign an NDA and not take the job, can your new
employer be sued?  This is probably more likely, as your new company will
likely have a larger bank account and more assets than you do [no offense
:-]. This might potentiall hurt your prospects as a prospective employee
since you have extra baggage to carry around - baggage that you have not
received any compensation for.  There was recently an article about this
very subject about companies being reluctant to hire engineers who worked
for large firms that are known to have strong and well-used legal
department.  A quick search couldn't find it but it is something you should
consider.  If I was hiring and I had two applicants, both look just fine,
and one had an NDA with a competing firm, why should I hire you and even
worry about things?

For some jobs, like my day job, money does not have to change hands if an
NDA is violated.  In some cases, this would be defined as a crime.

While perhaps this is something gaining in popularity, it's been a problem
for quite a while.  While interviewing for some defense jobs, I have found
myself in the position that the firm would not even disclose what technical
field the job would be in.  In fact, one couldn't find out the nature of the
assignment for approximately 6 months or so after being hired until a
security clearance comes through.

I would suggest that you be very careful before signing anything.  Any
document of this nature is sure to be written by a lawyer whose interest
more than likely is not yours.

Good luck!

And I'll keep my eye out for that recent article, I think it was in one of
the recent editions of EE Times.

rk



Article: 24626
Subject: Re: Non-disclosures in job interviews
From: "¸.·´¯`·.¸.·>Strings" <ggilbert@prsguitars.com>
Date: Tue, 15 Aug 2000 10:24:27 -0400
Links: << >>  << T >>  << A >>
That's not right.  You indeed are interviewing them.  You should be, anyway.
It's also protection from competitors sending in undecovers to dig up info.
It's protection.
More people are doing it.
To be honest, it's being overworked here.  It's a protection agreement more
than anything else.



Herman <aerosoft@AerospaceSoftware.com> wrote in message
news:39989511.B5CA0886@AerospaceSoftware.com...
> Hi Mr Rickman,
>
> I won't sign it - I had the same problem recently.  During an interview,
> the company is interviewing you, not so much vice versa, so why would
> they want a ND?  You open yourself to unneccesary litigation by signing.
>
> There is another serious hiccup developing here in Alberta, probably in
> other places as well.  Electronic engineers who register as Pr Eng find
> that it is impossible to obtain Prof Liability Insurance.  That should
> tell one something.  (that you should run away screaming?)
>
> Firstly, it tells me that my rates are too low by a factor of 10 and
> secondly, it tells me that I made the correct decision NOT to register
> as Pr Eng and rather work under the protection of a Limited Company.
>
> Interestingly, when I read the AB Eng Prof Act, I found absolutely
> nothing at all that can be remotely construed to refer to electronic
> engineering, so although APEGGA would accept a willing EE's money, it
> doesn't really mean anything, since there is no ground for it in the act
> and secondly, the impossibility of obtaining insurance, makes it
> imposible to practice that way.  The EEs that do practice as Pr Eng are
> taking a huge risk, so big that even the large insurance companies don't
> want any part of it. So even if they do have insurance, the insurance
> company will probably refuse to cough up in case of a real lawsuit,
> claiming that Electronics is outside the scope of the insurance policy.
> So the poor sod just paid thousands of dollars every year for nothing.
>
> So, as far as I can see, EEs are in a very precarious position and are
> simply being ripped off.
>
> The only solution I see, is to incorporate and that with a tiered
> structure:
> Holding company --> Operating company
>
> Each layer of indirection increases the cost of a lawsuit to the other
> party, by at least $30 000, meaning that it becomes less likely that you
> will in fact be sued in the first place.
>
> As an example: Lawyers typically work at a rate of $180 per hour and
> they have prof liability insurance, costing them about $5000 per year.
> So, as an EE, to work without insurance at enormous risk, one should
> have a rate significantly higher than that.  Danger pay in the Army is
> typically 5x normal wages...
>
>
> Cheers,
>
> Herman Oosthuysen
> Electronic Engineer
> Member IEEE
> (not registered with APEGGA)
>
>
> rickman wrote:
> >
> > I am interviewing for jobs and I am finding more than one company that
> > wants me to sign a non-disclosure (ND). This is disturbing to me since
> > it puts me in a difficult position. Let's say I sign a ND with company A
> > and take a job with company B who is a direct competitor. I end up
> > working on a project similar to the one that company A told me about. So
> > because I interviewed with company A I am now liable for a lawsuit?
> >
> > Is this what a lot of companies are doing now? Are interviewees
> > generally willing to sign such agreements?
> >
> > I had gotten to the point where I decided that I would not "pee in a
> > bottle" to conduct an interview. I found that companies were using this
> > as a way to reject applicants without giving them a chance to object to
> > the drug test results. If you flunk the test because you ate a poppy
> > seed roll that morning, you just don't get an offer. No offer, no
> > challenges.
> >
> > Now they want you to sign away the right to work on a competing product
> > just to get an interview?
> >
> > --
> >
> > Rick Collins
> >
> > rick.collins@XYarius.com
> >
> > Ignore the reply address. To email me use the above address with the XY
> > removed.
> >
> > Arius - A Signal Processing Solutions Company
> > Specializing in DSP and FPGA design
> >
> > Arius
> > 4 King Ave
> > Frederick, MD 21701-3110
> > 301-682-7772 Voice
> > 301-682-7666 FAX
> >
> > Internet URL http://www.arius.com


Article: 24627
Subject: Re: Non-disclosures in job interviews
From: "Rick Cortese" <ricortes@netmagic.net>
Date: Tue, 15 Aug 2000 07:48:04 -0700
Links: << >>  << T >>  << A >>
"rickman" <spamgoeshere4@yahoo.com> wrote in message
news:3998A79B.EB14E9FE@yahoo.com...
> "E. Robert Tisdale" wrote:
> >
> > rickman wrote:
> > > I don't know where you got your information. I have been asked to sign
a
> > > non-disclosure agreement for the interview process. One company
> > > specifically said that they don't feel they can conduct a proper
> > > interview without revealing sensitive information. I think that is
> > > nonsense! This was stated as a precondition to the interview along
with
> > > completing an application. It did not even come out until I asked if
> > > there was anything that I needed to sign. I have been through a few
> > > interviews over the years.
> > >
> > > I don't know that a non-disclosure would not be enforceable just
because
> > > I was not hired. I remember a story (of course I don't know for sure
it
> > > is true, but it was in the book "Fire in the Valley") that told of IBM
> > > requiring Microsoft to sign that MS would not disclose any proprietary
> > > info in their first meeting. Then when IBM was happy that MS had
> > > something to offer, they had MS sign a ND for the second meeting where
> > > IBM spilled thier beans. In neither case did the two companies have
any
> > > working agreements that required money to be given. The only
requirement
> > > for the ND contract to be enforceable in that regard is that each side
> > > received "consideration". This can take many forms.
> > >
> > > But you are way off base telling me that you know what I was told and
> > > that I don't.
> >
> > A non disclosure agreement wouldn't prevent you
> > from working for a competitor.
> > You just agree not to disclose what you learn in the interview.
> > If the agreement actually prevents you from going to work
> > for a competitor, it is a non compete agreement.
> > Have you read the agreement?  Can you post it here?
> > If you need to retain a lawyer to tell you whether you are signing
> > a non disclosure agreement or a non compete agreement,
> > you shouldn't sign anything.  Just look for work elsewhere.
>
> It could prevent me from working on the identical product for another
> company. It would be impossible to "not disclose" information if the
> product is the same and uses the same concepts. I have read about people
> being sued by former employers for working on the same product for a
> different company. The court in that case ruled that the new company
> could not ask the employee to work on the same product, but the old
> company could not require the employee to work elsewhere. The old
> company was trying to enforce an agreement to prevent the employee from
> working for *any* competitor.

Constitutional right in the USA to be able to petition the govenernment for
redress of grievences. Doesn't mean you win, just means you can be a PIA.

I worked for Alza. We are the pharmacuetical company that developed things
like Transderm anti motion sickness patches that are used on everything from
boats to the space shuttle.

Been a while, but IIRC one of Alza's employees Dr Gary Cleary, tried for
years to get Alza to develop an nitro glycerin patch for angina and was
consistantly rebuffed. Eventually he went to work for Key<?> in Florida and
Alza immediately started filing lawsuits against him. Wouldn't allow him to
present papers at professional conferences, that kind of stuff. Meanwhile at
the direction of Ciba Geigy Alza started working on its own nitro patch for
angina.

Both Key and Alza<via CG> ended up marketing an angina patch within a few
months of each other. Alza immediately started another round of lawsuits.
All the lawsuits/legal manuvers essentially accomplished nothing.

I think it turned out Key was working on development of a nitro patch before
Alza even started or they hired Gary.

This all happened in the early 80s and AFAIK Alza has not tried the same
line again against any other former employee.

But the case law is pretty established now. What this means is a company you
have signed a NDA with may be able to get as far as discovery now, but if
the lawyers find no wrong doing and still take it to court, they will just
get the judges POed. From personal experience, if you get the judge PO'd at
you, you can't win.
>
> I will have one NDA in the morning by mail. I will scan it in (without
> the company name) and post it on a web site if you are interested. The
> other company does not sound like they are willing to even discuss the
> matter. I am waiting to hear if they will send me a copy.
>
> While thinking about what an employer is worried about, it occured to me
> that they might be seeing a lot of applicants from their competitors.
> Perhaps they think that interviewees are being sent just to try to glean
> sensitive info???

And

I dated a girl that worked/wrote for Data Quest. They were an industry
research firm that would publish newsletters specific to certain industry
markets. I never got the actual numbers, but for the sake of argument; they
would have a paper on say DSPs in consumer electronics by a engineer and
selling for 100s if not 1000s of dollars a copy. I don't think it was
beneath them to use information gathered in interviews then offer this
information forsale. They weren't the only industry research company doing
it either.


Article: 24628
Subject: Re: Non-disclosures in job interviews
From: Tom Hoffend <trh@lunar.mmm.com>
Date: 15 Aug 2000 15:00:28 GMT
Links: << >>  << T >>  << A >>
I have followed this thread with interest.  

Remember that the applicant is interviewing the company just
as much as the company is interviewing the applicant, and for jobs in 
R&D that means the applicant will be asking a large number of questions,
many of which might have some significance in terms of IP.

AFAIK, none of a recent group of people who I recently interviewed had
signed NDA's, and I gave out very little info during the interviews as
a result.  Since I am involved in "mathy" stuff (numerical methods, etc.),
it is easier for me to discuss topics from recent journals that might be
only tangentially related to my work but will give me enough info concerning
the talents of the applicant and also give the applicant a good idea of
the types of things I do and what sort of person I am to work with.

One thing a person should be very aware of is that when you meet with
another company, in an interview setting or otherwise, there is the
possibility that some sort of "inventing" might happen.  IMHO, both 
parties should be careful about what sort of information they give out, 
and should be extremely careful about suggesting any sort of idea during
the meeting that might be construed as an invention.  If the NDA is written
correctly, it not only protects the company with whom you are meeting 
and/or interviewing, but it also protects you.

TRH

-- 
Thomas R. Hoffend Jr., Ph.D.			EMAIL:	trhoffend@mmm.com
3M Company
3M Center Bldg. 201-1C-18		My opinions are my own and not
St. Paul, MN 55144-1000				those of 3M Company.

Article: 24629
Subject: Re: 8251 USART
From: Eduardo Augusto Bezerra <E.A.Bezerra@sussex.ac.uk>
Date: Tue, 15 Aug 2000 16:18:26 +0100
Links: << >>  << T >>  << A >>

Basically, I'm looking for a USART, and the free core I found is a
UART. I agree that the 16550 is better, but where can I find it? As I
said before, it's an academic project and our budget is very low.

Thanks

Eduardo.

rickman wrote:
> 
> What is not suitable about this core? Would a 16550 work better for you?
> 
> The 8251 is a very old device and in not in much use anymore. The 16550
> on the other hand is in every PC made. It is part of every modem and is
> often used as a common interface for special boards for which a special
> driver is not required. So it will be much easier to find.
> 
> --
> 
> Rick Collins
> 
> rick.collins@XYarius.com
> 
> Ignore the reply address. To email me use the above address with the XY
> removed.
> 
> Arius - A Signal Processing Solutions Company
> Specializing in DSP and FPGA design
> 
> Arius
> 4 King Ave
> Frederick, MD 21701-3110
> 301-682-7772 Voice
> 301-682-7666 FAX
> 
> Internet URL http://www.arius.com

--
Eduardo Augusto Bezerra
Space Science Centre
School of Engineering and Information Technology
University of Sussex
Brighton, BN1 9QT
England, UK

Phones: +44 (0)1273 877086 or +44 (0)700 5568783
Fax: +44 (0)1273 678399
EIT II, room 4B11

*** UK ***
mailto:E.A.Bezerra@sussex.ac.uk - http://www.sussex.ac.uk/~tapu9
Space Group's web site: http://www.sussex.ac.uk/engg/research/space
*** Brasil ***
mailto:eduardob@inf.pucrs.br - http://www.inf.pucrs.br/~eduardob
GAPH's web site: http://www.inf.pucrs.br/~gaph
*** ACM ***
mailto:eduardob@acm.org
Article: 24630
Subject: Re: what does 0.35 micron mean
From: Austin Tempany <ATempany@iss-dsp.com>
Date: Tue, 15 Aug 2000 17:32:15 +0100
Links: << >>  << T >>  << A >>
Peter,
Very well answered - this is exactly the information that is very useful -
and without any fuss.

Cheers,
Austin

Peter Alfke wrote:

> Patrick Schulz wrote:
>
> > dPlease try to read any VLSI or ASIC book that you get.
> >
>
> This was a valid question that deserves more than a flip answer,
> and "disk" (whoever you are): we can do without your vitriolic comments.
>
> Let's all be nice here !
>
> As usual, there is a short and a long answer:
> Basically, the number describes the smallest dimension achieved on the
> chip,
> usually the gate length of the transistors.
> 0.35 microns is pretty old. We are now designing to 0.15 and even 0.13
> micron
> gate length. The shorter this value, the faster the transistors, and the
> smaller
> the chip, and the more logic can be crammed on the largest
> manufacturable
> chip, about an inch square.
> But also the lower the supply voltage. By a quirk, the supply voltage (
> in V )
> is almost exactly the same number as the transistor gate length ( in
> microns)
> times ten.  So,  0.35 micron = 3.3 V, 0.25 micron = 2.5 V, etc.
> Pretty scary proposition, as we are approaching 0.1 micron = 100
> nanometers. A supply voltage of 1.0 V and 10 A of Icc will be a
> challenge to board designers. Just think of the decoupling requirements
> !
>
> Metal width tends to be somewhat larger than the min gate length.
> And metal pitch is usually twice the metal width.
> At 0.2 micron horizontal width, and 1 micron vertical height, the metal
> lines
> are not the way you usually think of them, e.g. the way they are on a
> pc-board...
>
> It's all a matter of photolithography, using lots of optical trickery to
> achieve
> a resolution that is significantly smaller than the wavelength of the UV
> light
> being used. ( remember, the wavelength of visible light is between 0.4
> to 0.8 micron !)
> The industry wants to stay with optical methods as long as
> possible, since X-rays or e-beam are far more expensive.
>
> Peter Alfke, Xilinx Applications

--
------------------------------------------------------------
Integrated Silicon Systems Ltd.       Tel: +44 28 90 50 4000
50 Malone Road                        Fax: +44 28 90 50 4001
Belfast  BT9 5BS                      Web:   www.iss-dsp.com


Article: 24631
Subject: Re: ASIC SCAN TEST
From: Austin Tempany <ATempany@iss-dsp.com>
Date: Tue, 15 Aug 2000 17:35:38 +0100
Links: << >>  << T >>  << A >>
Patrick,
Thanks for your help - much appreciated.
Austin

Patrick Schulz wrote:

> Austin Tempany wrote:
> >
> > Patrick,
> > Can you suggest a  suitable text book - something practical that gives me the basic knowledge.
> > Cheers,
> > Austin
> > --
> > ------------------------------------------------------------
> > Integrated Silicon Systems Ltd.       Tel: +44 28 90 50 4000
> > 50 Malone Road                        Fax: +44 28 90 50 4001
> > Belfast  BT9 5BS                      Web:   www.iss-dsp.com
>
> Austin,
>
> I don't know a book especially for test, because it comes mostly with synthesis.
>
> But there is a book containing the whole asic design flow, including test, which doesn't go in
> details, but cover the whole flow.
> "It's the Methodology, Stupid!" Kurup, Abbasi, Bedi ByteKDesigns, Inc. ISBN 0-9663301-0-2
>
> But be aware it's facile.
>
> The whole I know comes from the user guides and tutorials from synopsys, they are really good.
>
> Patrick
>
> --
> Patrick Schulz (schulz@rumms.uni-mannheim.de, pschulz@ieee.org)
> University of Mannheim - Dep. of Computer Architecture
> 68161 Mannheim - GERMANY / http://mufasa.informatik.uni-mannheim.de
> Phone: +49-621-181-2720     Fax: +49-621-181-2713

--
------------------------------------------------------------
Integrated Silicon Systems Ltd.       Tel: +44 28 90 50 4000
50 Malone Road                        Fax: +44 28 90 50 4001
Belfast  BT9 5BS                      Web:   www.iss-dsp.com


Article: 24632
Subject: JTAG, Xilinx, Winnt, and the Parallel Port
From: "Steve Berman" <stb5@cornell.edu>
Date: Tue, 15 Aug 2000 09:42:46 -0700
Links: << >>  << T >>  << A >>
I am working on a multi-FPGA project using Virtex series parts which we want
to be able to program via the JTAG interface.  I have the Xilinx JTAG
parallel port cable as well as the alliance programing s/w, and they work
fine.  However, I want to be able to program (and possibly perform some
boundary scan tests) from within my own home brew software.  I've played
around a bit and found that, while I can access the parallel port and still
leverage the Xilinx cable, I am unable to get nearly the port performace the
Xilinx software gets.  (less than half...120Kbps vs 300Kbps).  Ive played
with different parallel port modes, but the fast modes (EPP and ECP) require
peripheral side handshaking, and I don't want to deal with that.  Because we
are using about 16 FPGA's in our design, programming time is a factor.  Is
there source code available to help with this task, or is there someone
knowledgeable in parallel ports who would help me out with this??? Just for
reference, here's the schematic for the Xiliinx cable I want to use:
http://toolbox.xilinx.com/docsan/2_1i/data/common/jtg/fig26.htm

Thanks,
Steve Berman



Article: 24633
Subject: Lattice 8k family
From: <qwerty@scottfamily.cc>
Date: Tue, 15 Aug 2000 17:55:15 GMT
Links: << >>  << T >>  << A >>
Anyone having trouble forcing I/O registers into the IOC registers
in the Lattice 8K family?  I am using Synplicity as my front end and 
in the HDL analyst I can see my input and output registers with the appropriate
buf in front (ie. Ib11 or ob11).  However, when I go into the Lattice
tool (targetting an 8840V family- I get no IOC registers in the report file.
I have two clock domains on the device and I put them on clock 0 and clock 2
so they both goto the IOC blocks, still the report file shows no IOC registers.

All flops use the global async reset.

Any help- suggestions?
Article: 24634
Subject: Re: Help! Troubles using async FIFO cores in Virtex
From: "Mikhail Matusov" <matusov@ANNTIsquarepegSPPAMM.ca>
Date: Tue, 15 Aug 2000 18:12:07 GMT
Links: << >>  << T >>  << A >>
Thank you everybody for your help.

After trying a Free-IP FIFO core that David Kessner kindly provided me with
I finally tracked the problem down to be not a FIFO related. It was glitches
in a circuit responsible for forming write/read enable signals.

Regards,
/Mikhail





Article: 24635
Subject: Re: what does 0.35 micron mean
From: Peter Alfke <peter@xilinx.com>
Date: Tue, 15 Aug 2000 11:14:22 -0700
Links: << >>  << T >>  << A >>


rickman wrote:

>
> Any idea of how much further this can be pushed?

I really do not know, and I am not an authority on this subject.
I just jumped in with a few non-controversial tutorial comments.

And I will always fight to keep the tone here civilized.  :-)

Peter Alfke

Article: 24636
Subject: Re: Non-disclosures in job interviews
From: "Jeff Stout" <jeffstout@mylinuxisp.com>
Date: Tue, 15 Aug 2000 19:39:05 -0500
Links: << >>  << T >>  << A >>
With an NDA, there is "consideration" which goes something like
this:

In exchange for your "promise" not to blab to our competitors
about what we are doing, and how we are doing it, I'll share with
you what I consider to be very valuable information.  NDA are
enforceable!

However, my understanding is that non competitive agreements
ARE iffy and US courts usually won't enforce them unless they
are very carefully written and executed and you live in the
wrong state.

TRUE STORY

I once had an interview with the company that was interested in
my knowledge of neural networks.  They said the application
was "classifying" metal and I wanted to know more.  They
wouldn't tell me anything until I signed an NDA.

After I signed the NDA, they brought me back to the
warehouse where they had a machine they had build
which shined light on coins (yes money) at different
angles.  The software was trying to classify the coin for
how good it was: surface scratches, ware, discoloration,
etc.  The owner of the company was a coin collector
and wanted to make a business out of making a machine
which would classify coins.  I guess he thought the idea
was so good that he thought he had to protect it.

Jeff Stout


Jon Kirwan <jkirwan@easystreet.com> wrote in message
news:6gCZOdv1qlaZ1v4Ngbmq+9pPdmZe@4ax.com...
> On Tue, 15 Aug 2000 04:07:23 GMT, "Neil Judell"
> <njudell@optimalsystemslab.com> wrote:
>
> >In this country (US), a contract is not valid unless there is an exchange
of
> >value.  If you don't get anything of value in exchange for the promise of
> >silence, then it isn't a contract.  The company would be VERY
hard-pressed
> >to claim that a job interview has value.
>
> I believe that is true.  Same is true of many non-competes, as well.
> Some States specifically forbid most of them, as does Oregon here.
>
> Jon


Article: 24637
Subject: Digital PLL design in FPGA
From: Artur Leung <aleung@REMOVEsunflower.com>
Date: Tue, 15 Aug 2000 20:02:04 -0500
Links: << >>  << T >>  << A >>
Hi,

     I am about to design a digital PLL for carrier recovery circuit in
FPGA, and would like to know if there is a good book or online reference
on this topic.  It would be helpful if there is a design example that I
could learn from it.  Thanks.

Artur
8.15.2000

Article: 24638
Subject: WONDERFUL!
From: drdimf@soundom.net
Date: Wed, 16 Aug 2000 01:58:03 GMT
Links: << >>  << T >>  << A >>
I just found the coolest site on the web that I want you to check out. It's called Soundom.net and it's a company that pays us do what we are already doing: listening to music! Thats right, Soundom PAYS US for listening to our MP3s, CDs or Internet Radio. All we have to do is download the Soundom Application or the Plugin to Winamp, Windows Media, or Sonique (others are on the way). And they pay in US DOLLARS that we can spend anywhere, not vouchers or some other internet currency. Best of all, you can earn additional money by referring people to sign up for Soundom!

Just when you think it could not get better, it does. Soundom also pays the artists every time we play them, just like a radio station would. So we're supporting our favorite artists just by playing their music.

Soundom is launching the program later this summer, and is going to be rolling out on a first come, first served basis. So check it out at http://www.Soundom.net


Article: 24639
Subject: Re: Non-disclosures in job interviews
From: Jon Kirwan <jkirwan@easystreet.com>
Date: Tue, 15 Aug 2000 19:36:44 -0700
Links: << >>  << T >>  << A >>
On Tue, 15 Aug 2000 19:39:05 -0500, "Jeff Stout"
<jeffstout@mylinuxisp.com> wrote:

>With an NDA, there is "consideration" which goes something like
>this:
>
>In exchange for your "promise" not to blab to our competitors
>about what we are doing, and how we are doing it, I'll share with
>you what I consider to be very valuable information.  NDA are
>enforceable!

I think that's one of the arguments from one side.  But if that's all
there is to it, it doesn't sound very solid to me.  The enforceability
comes more from the threat of a larger organization willing to say
they may take you to court, than from their actual ability to succeed
a well-fought case.  There is no value obtained from someone showing
you something you cannot use anywhere else.  No value at all.

>However, my understanding is that non competitive agreements
>ARE iffy and US courts usually won't enforce them unless they
>are very carefully written and executed and you live in the
>wrong state.

That does appear to be true.

Jon
Article: 24640
Subject: Re: Help!!! Bit serial Baugh-Wooley multiplier
From: jj_okocha@my-deja.com
Date: Wed, 16 Aug 2000 03:19:26 GMT
Links: << >>  << T >>  << A >>

> Baugh-Wooley is a parallel algorithm by definition.  Its like asking
how do
> you do parallel multi CPU processing on a single CPU. Answer you
don't. You

Well, that's what I thought when looking at the algorithm, but I
believe having seen a publication using a bit serial Baugh-Wooley
multiplier (it was some time ago, and I cannot find it!, anyway, I
remember that it does not describe it).

> might want to ask what would be a good bit serial algorithm.
Could you advice a serial-serial (not serial-parallel) constant
multiplier to implement on XC4000 series?


Cheers.


Sent via Deja.com http://www.deja.com/
Before you buy.
Article: 24641
Subject: Re: 8251 USART
From: rickman <spamgoeshere4@yahoo.com>
Date: Wed, 16 Aug 2000 01:15:37 -0400
Links: << >>  << T >>  << A >>
Eduardo Augusto Bezerra wrote:
> 
> Basically, I'm looking for a USART, and the free core I found is a
> UART. I agree that the 16550 is better, but where can I find it? As I
> said before, it's an academic project and our budget is very low.
> 
> Thanks
> 
> Eduardo.

The opencores UART is loosly based on the 16550 I believe. I have
emailed with the author of that core and we may work together to convert
it to a 16550. But it is also not a USART. 

If you need a USART device, the 85C30 is a very common device with a
large base of software, but I know of no core for it. It is also a much
more complex device than the 16550 and would take a lot more work to
design. 

Why do you need a core and not a chip? The 85C30 is very inexpensive
($5) although not terribly small (44 pin PLCC). 


-- 

Rick Collins

rick.collins@XYarius.com

Ignore the reply address. To email me use the above address with the XY
removed.



Arius - A Signal Processing Solutions Company
Specializing in DSP and FPGA design

Arius
4 King Ave
Frederick, MD 21701-3110
301-682-7772 Voice
301-682-7666 FAX

Internet URL http://www.arius.com
Article: 24642
Subject: Re: what does 0.35 micron mean
From: rickman <spamgoeshere4@yahoo.com>
Date: Wed, 16 Aug 2000 01:19:31 -0400
Links: << >>  << T >>  << A >>
Patrick Schulz wrote:
> Rick,
> 
> I know of a alternative lithography method currently used in MPW runs, which is called Direct Slice
> Writing (DSW). They use electron-beams to write patterns directly onto a wavers resist layer and can
> achieve resolutions up to 0.07um. But of course that is too expensive for mass production.
> The lithography people say that wavelengths below 0.13um become unusable, because materials for
> lenses and masks become completely absorbant at this wavelength. Therefore, feature sizes below
> 0.10um could not be managed by common lithographic methods.
> 
> Patrick

Then what is planned beyond .10 um? This is not very far away as .12 um
is currently being set up in the latest fabs under construction I
believe. Certainly they must know what is planned for 0.08 um since it
is likely only two years away (or maybe less). 


-- 

Rick Collins

rick.collins@XYarius.com

Ignore the reply address. To email me use the above address with the XY
removed.



Arius - A Signal Processing Solutions Company
Specializing in DSP and FPGA design

Arius
4 King Ave
Frederick, MD 21701-3110
301-682-7772 Voice
301-682-7666 FAX

Internet URL http://www.arius.com
Article: 24643
Subject: Re: Non-disclosures in job interviews
From: rickman <spamgoeshere4@yahoo.com>
Date: Wed, 16 Aug 2000 02:06:33 -0400
Links: << >>  << T >>  << A >>
Here is the latest. I have received both NDAs and they are rather one
sided. Unfortunately they both have numerous references to the company's
names all through the documents, so I will not be able to post them for
a couple of days when I have more time to edit them. 

In a nutshell here are the two summaries. 

A) A "biotech" company that uses patented technologies to make their
products unique and solesourced. 

I have been presented with a combined application, list of employment
contingencies and NDA. The employment contingencies are: 

Signing "proprietary and non-disclosure agreement"
Form I-9
Passing a physical exam (they are a biotech company, so there is
exposure risk)
Verification of application info

NDA includes: 

Long discription of "CONFIDENTIAL INFORMATION" without specifics.
Example: "diagnostic methods and instruments based on electro....ence"
[altered to protect identity]. 

a) (many company names) may disclose CONFIDENTIAL INFORMATION to
Applicant, but that
b) Applicant will not disclose his/her confidential information to (many
company names). 

list of non-applicability such as "information received from a third
party"...

time limit on agreement of 5 years.

other items that are not of major concern to me.



B) A telecom company that I know very little about.

"1. "Confidential Information" means all information disclosed by XXX
hereunder that should reasonably be understood by Recipient, because of
legends or other markings, the circumstances of disclosure, or the
nature of the information itself, to be proprietary and confidential to
XXX, and includes information relating to XXX business."

The usual limitations of stuff that is already known or is later
released to the public. 

Releases due to legal requirements like being ordered by a court to
disclose. 

term limit of 1 year.

a lot of other legal stuff. 


So most of what is in the documents is not overly onerous. But there are
a few things that I will be objecting to. The requirement by company A
that I not disclose information will limit my discussion of what I am
currently working on. 

Both agreements will need to be amended to list the "confidential" items
disclosed. 

I will let you know how goes the interview with company A tomorrow. 


-- 

Rick Collins

rick.collins@XYarius.com

Ignore the reply address. To email me use the above address with the XY
removed.



Arius - A Signal Processing Solutions Company
Specializing in DSP and FPGA design

Arius
4 King Ave
Frederick, MD 21701-3110
301-682-7772 Voice
301-682-7666 FAX

Internet URL http://www.arius.com
Article: 24644
Subject: fifo;s
From: Richard Meester <rme@quest-innovations.com>
Date: Wed, 16 Aug 2000 08:10:12 +0200
Links: << >>  << T >>  << A >>
Hello all,

I need to implement small fifo's, with 2 diiferent clock domains. They
need to be 4 bit wide, and 16 deep. Can anyone suggest how to implement
these fifo's without waisting lots of ram using the blockram devices,
since they com in 4096bit, and i only have 12 of them, and i need more
than 12 fifo's. I have looked at the xilinx site, but they only have
notes subscribing the use of blockram, and the other notes using single
ram, have the same clock domains.

Regards,

Richard.

Ps. the device i am targeting is a spartan2.

--
Quest Innovations
tel: +31 (0) 227 604046
http://www.quest-innovations.com


Article: 24645
Subject: Re: 8251 USART
From: Eduardo Augusto Bezerra <E.A.Bezerra@sussex.ac.uk>
Date: Wed, 16 Aug 2000 08:43:09 +0100
Links: << >>  << T >>  << A >>

I'm developing a kit to be used in the lab sections of a data
communications course. My goal is to have a generic board
which can be used in different courses. For instance, in a
microprocessors course the students will be able to use the
same board to learn how to program a RISC CPU or an 8051
microcontroller. That's the reason why I want to use cores
instead of the real chips.

Eduardo.

rickman wrote:
> 
> Eduardo Augusto Bezerra wrote:
> >
> > Basically, I'm looking for a USART, and the free core I found is a
> > UART. I agree that the 16550 is better, but where can I find it? As I
> > said before, it's an academic project and our budget is very low.
> >
> > Thanks
> >
> > Eduardo.
> 
> The opencores UART is loosly based on the 16550 I believe. I have
> emailed with the author of that core and we may work together to convert
> it to a 16550. But it is also not a USART.
> 
> If you need a USART device, the 85C30 is a very common device with a
> large base of software, but I know of no core for it. It is also a much
> more complex device than the 16550 and would take a lot more work to
> design.
> 
> Why do you need a core and not a chip? The 85C30 is very inexpensive
> ($5) although not terribly small (44 pin PLCC).
> 
> --
> 
> Rick Collins
> 
> rick.collins@XYarius.com
> 
> Ignore the reply address. To email me use the above address with the XY
> removed.
> 
> Arius - A Signal Processing Solutions Company
> Specializing in DSP and FPGA design
> 
> Arius
> 4 King Ave
> Frederick, MD 21701-3110
> 301-682-7772 Voice
> 301-682-7666 FAX
> 
> Internet URL http://www.arius.com

--
Eduardo Augusto Bezerra
Space Science Centre
School of Engineering and Information Technology
University of Sussex
Brighton, BN1 9QT
England, UK

Phones: +44 (0)1273 877086 or +44 (0)700 5568783
Fax: +44 (0)1273 678399
EIT II, room 4B11

*** UK ***
mailto:E.A.Bezerra@sussex.ac.uk - http://www.sussex.ac.uk/~tapu9
Space Group's web site: http://www.sussex.ac.uk/engg/research/space
*** Brasil ***
mailto:eduardob@inf.pucrs.br - http://www.inf.pucrs.br/~eduardob
GAPH's web site: http://www.inf.pucrs.br/~gaph
*** ACM ***
mailto:eduardob@acm.org
Article: 24646
Subject: clock variable problem
From: "Tomasz Brychcy" <T.Brychcy@pz.zgora.pl>
Date: Wed, 16 Aug 2000 10:08:13 +0200
Links: << >>  << T >>  << A >>
Hello,

I synthesised  my project in xilinx foundation 2.1. During synthesis occured
the error:
clock variable 'intoCounter' is being used as data;

Why the problem coccur and what i should do?

With regards

Tomek

T.Brychcy@ime.pz.zgora.pl


Article: 24647
Subject: Re: clock variable problem
From: Philip Freidin <philip@fliptronics.com>
Date: Wed, 16 Aug 2000 01:28:51 -0700
Links: << >>  << T >>  << A >>
On Wed, 16 Aug 2000 10:08:13 +0200, "Tomasz Brychcy" <T.Brychcy@pz.zgora.pl>
wrote:
>Hello,
>I synthesised  my project in xilinx foundation 2.1. During synthesis occured
>the error:
>clock variable 'intoCounter' is being used as data;
>Why the problem coccur and what i should do?

What you should do is post the fragment of code that is causing the problem so
we can look at it.

>With regards
>Tomek
>T.Brychcy@ime.pz.zgora.pl

Philip Freidin

Mindspring that acquired Earthlink that acquired Netcom has
decided to kill off all Shell accounts, including mine.

My new primary email address is    philip@fliptronics.com

I'm sure the inconvenience to you will be less than it is for me.
Article: 24648
Subject: Re: what does 0.35 micron mean
From: Patrick Schulz <schulz@rumms.uni-mannheim.de>
Date: Wed, 16 Aug 2000 11:28:21 +0200
Links: << >>  << T >>  << A >>
rickman wrote:

> 
> Then what is planned beyond .10 um? This is not very far away as .12 um
> is currently being set up in the latest fabs under construction I
> believe. Certainly they must know what is planned for 0.08 um since it
> is likely only two years away (or maybe less).

The swerve to non-optical lithography like N:1 projection electron-beam lithography, 1:1 proximity
X-ray litho, projection ion litho and all-reflective Extreme-UV litho. Please don't ask what they
are, I found this catchwords in Veendricks VLSI-book. I have no more information about that. 

Sorry,
Patrick

-- 
Patrick Schulz (schulz@rumms.uni-mannheim.de, pschulz@ieee.org)
University of Mannheim - Dep. of Computer Architecture
68161 Mannheim - GERMANY / http://mufasa.informatik.uni-mannheim.de
Phone: +49-621-181-2720     Fax: +49-621-181-2713
Article: 24649
Subject: Altera FL-BGA 672 pins (ep20k300e) Lib (OLB) for ORCAD
From: "Ron" <skalarv@usa.net>
Date: Wed, 16 Aug 2000 11:55:42 +0200
Links: << >>  << T >>  << A >>
I'm looking for Altera FL-BGA 672 pins (ep20k300e or other) structure -
library (olb)  for ORCAD,
if u have so plz send it to skalarv@hotmail.com

Best Regards

Ron




Site Home   Archive Home   FAQ Home   How to search the Archive   How to Navigate the Archive   
Compare FPGA features and resources   

Threads starting:
1994JulAugSepOctNovDec1994
1995JanFebMarAprMayJunJulAugSepOctNovDec1995
1996JanFebMarAprMayJunJulAugSepOctNovDec1996
1997JanFebMarAprMayJunJulAugSepOctNovDec1997
1998JanFebMarAprMayJunJulAugSepOctNovDec1998
1999JanFebMarAprMayJunJulAugSepOctNovDec1999
2000JanFebMarAprMayJunJulAugSepOctNovDec2000
2001JanFebMarAprMayJunJulAugSepOctNovDec2001
2002JanFebMarAprMayJunJulAugSepOctNovDec2002
2003JanFebMarAprMayJunJulAugSepOctNovDec2003
2004JanFebMarAprMayJunJulAugSepOctNovDec2004
2005JanFebMarAprMayJunJulAugSepOctNovDec2005
2006JanFebMarAprMayJunJulAugSepOctNovDec2006
2007JanFebMarAprMayJunJulAugSepOctNovDec2007
2008JanFebMarAprMayJunJulAugSepOctNovDec2008
2009JanFebMarAprMayJunJulAugSepOctNovDec2009
2010JanFebMarAprMayJunJulAugSepOctNovDec2010
2011JanFebMarAprMayJunJulAugSepOctNovDec2011
2012JanFebMarAprMayJunJulAugSepOctNovDec2012
2013JanFebMarAprMayJunJulAugSepOctNovDec2013
2014JanFebMarAprMayJunJulAugSepOctNovDec2014
2015JanFebMarAprMayJunJulAugSepOctNovDec2015
2016JanFebMarAprMayJunJulAugSepOctNovDec2016
2017JanFebMarAprMayJunJulAugSepOctNovDec2017
2018JanFebMarAprMayJunJulAugSepOctNovDec2018
2019JanFebMarAprMayJunJulAugSepOctNovDec2019
2020JanFebMarAprMay2020

Authors:A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

Custom Search